Don't generate ports for non-port signals.