Replace - with _ in VHDL ids.
authorMatthijs Kooijman <m.kooijman@student.utwente.nl>
Mon, 2 Feb 2009 09:01:19 +0000 (10:01 +0100)
committerMatthijs Kooijman <m.kooijman@student.utwente.nl>
Mon, 2 Feb 2009 09:01:19 +0000 (10:01 +0100)
commitde2e5c5e96daa2459874a9f758db9d9724ab196e
tree45e892dccae04dc1dce472600f8b98f08dbbf388
parent0ebb1e486484787bbf1c923f4d0006044a827632
Replace - with _ in VHDL ids.
Translator.hs