Create a VHDL proc for each state variable.