Update introduction according to Koen's comments
[matthijs/master-project/dsd-paper.git] / cλash.lhs
1
2 %% bare_conf.tex
3 %% V1.3
4 %% 2007/01/11
5 %% by Michael Shell
6 %% See:
7 %% http://www.michaelshell.org/
8 %% for current contact information.
9 %%
10 %% This is a skeleton file demonstrating the use of IEEEtran.cls
11 %% (requires IEEEtran.cls version 1.7 or later) with an IEEE conference paper.
12 %%
13 %% Support sites:
14 %% http://www.michaelshell.org/tex/ieeetran/
15 %% http://www.ctan.org/tex-archive/macros/latex/contrib/IEEEtran/
16 %% and
17 %% http://www.ieee.org/
18
19 %%*************************************************************************
20 %% Legal Notice:
21 %% This code is offered as-is without any warranty either expressed or
22 %% implied; without even the implied warranty of MERCHANTABILITY or
23 %% FITNESS FOR A PARTICULAR PURPOSE! 
24 %% User assumes all risk.
25 %% In no event shall IEEE or any contributor to this code be liable for
26 %% any damages or losses, including, but not limited to, incidental,
27 %% consequential, or any other damages, resulting from the use or misuse
28 %% of any information contained here.
29 %%
30 %% All comments are the opinions of their respective authors and are not
31 %% necessarily endorsed by the IEEE.
32 %%
33 %% This work is distributed under the LaTeX Project Public License (LPPL)
34 %% ( http://www.latex-project.org/ ) version 1.3, and may be freely used,
35 %% distributed and modified. A copy of the LPPL, version 1.3, is included
36 %% in the base LaTeX documentation of all distributions of LaTeX released
37 %% 2003/12/01 or later.
38 %% Retain all contribution notices and credits.
39 %% ** Modified files should be clearly indicated as such, including  **
40 %% ** renaming them and changing author support contact information. **
41 %%
42 %% File list of work: IEEEtran.cls, IEEEtran_HOWTO.pdf, bare_adv.tex,
43 %%                    bare_conf.tex, bare_jrnl.tex, bare_jrnl_compsoc.tex
44 %%*************************************************************************
45
46 % *** Authors should verify (and, if needed, correct) their LaTeX system  ***
47 % *** with the testflow diagnostic prior to trusting their LaTeX platform ***
48 % *** with production work. IEEE's font choices can trigger bugs that do  ***
49 % *** not appear when using other class files.                            ***
50 % The testflow support page is at:
51 % http://www.michaelshell.org/tex/testflow/
52
53
54
55 % Note that the a4paper option is mainly intended so that authors in
56 % countries using A4 can easily print to A4 and see how their papers will
57 % look in print - the typesetting of the document will not typically be
58 % affected with changes in paper size (but the bottom and side margins will).
59 % Use the testflow package mentioned above to verify correct handling of
60 % both paper sizes by the user's LaTeX system.
61 %
62 % Also note that the "draftcls" or "draftclsnofoot", not "draft", option
63 % should be used if it is desired that the figures are to be displayed in
64 % draft mode.
65 %
66
67 \documentclass[conference,pdf,a4paper,10pt,final,twoside,twocolumn]{IEEEtran}
68 \IEEEoverridecommandlockouts
69 % Add the compsoc option for Computer Society conferences.
70 %
71 % If IEEEtran.cls has not been installed into the LaTeX system files,
72 % manually specify the path to it like:
73 % \documentclass[conference]{../sty/IEEEtran}
74
75 % Some very useful LaTeX packages include:
76 % (uncomment the ones you want to load)
77
78 % *** MISC UTILITY PACKAGES ***
79 %
80 %\usepackage{ifpdf}
81 % Heiko Oberdiek's ifpdf.sty is very useful if you need conditional
82 % compilation based on whether the output is pdf or dvi.
83 % usage:
84 % \ifpdf
85 %   % pdf code
86 % \else
87 %   % dvi code
88 % \fi
89 % The latest version of ifpdf.sty can be obtained from:
90 % http://www.ctan.org/tex-archive/macros/latex/contrib/oberdiek/
91 % Also, note that IEEEtran.cls V1.7 and later provides a builtin
92 % \ifCLASSINFOpdf conditional that works the same way.
93 % When switching from latex to pdflatex and vice-versa, the compiler may
94 % have to be run twice to clear warning/error messages.
95
96
97
98 % *** CITATION PACKAGES ***
99 %
100 \usepackage{cite}
101 % cite.sty was written by Donald Arseneau
102 % V1.6 and later of IEEEtran pre-defines the format of the cite.sty package
103 % \cite{} output to follow that of IEEE. Loading the cite package will
104 % result in citation numbers being automatically sorted and properly
105 % "compressed/ranged". e.g., [1], [9], [2], [7], [5], [6] without using
106 % cite.sty will become [1], [2], [5]--[7], [9] using cite.sty. cite.sty's
107 % \cite will automatically add leading space, if needed. Use cite.sty's
108 % noadjust option (cite.sty V3.8 and later) if you want to turn this off.
109 % cite.sty is already installed on most LaTeX systems. Be sure and use
110 % version 4.0 (2003-05-27) and later if using hyperref.sty. cite.sty does
111 % not currently provide for hyperlinked citations.
112 % The latest version can be obtained at:
113 % http://www.ctan.org/tex-archive/macros/latex/contrib/cite/
114 % The documentation is contained in the cite.sty file itself.
115
116
117
118
119
120
121 % *** GRAPHICS RELATED PACKAGES ***
122 %
123 \ifCLASSINFOpdf
124   \usepackage[pdftex]{graphicx}
125   % declare the path(s) where your graphic files are
126   % \graphicspath{{../pdf/}{../jpeg/}}
127   % and their extensions so you won't have to specify these with
128   % every instance of \includegraphics
129   % \DeclareGraphicsExtensions{.pdf,.jpeg,.png}
130 \else
131   % or other class option (dvipsone, dvipdf, if not using dvips). graphicx
132   % will default to the driver specified in the system graphics.cfg if no
133   % driver is specified.
134   % \usepackage[dvips]{graphicx}
135   % declare the path(s) where your graphic files are
136   % \graphicspath{{../eps/}}
137   % and their extensions so you won't have to specify these with
138   % every instance of \includegraphics
139   % \DeclareGraphicsExtensions{.eps}
140 \fi
141 % graphicx was written by David Carlisle and Sebastian Rahtz. It is
142 % required if you want graphics, photos, etc. graphicx.sty is already
143 % installed on most LaTeX systems. The latest version and documentation can
144 % be obtained at: 
145 % http://www.ctan.org/tex-archive/macros/latex/required/graphics/
146 % Another good source of documentation is "Using Imported Graphics in
147 % LaTeX2e" by Keith Reckdahl which can be found as epslatex.ps or
148 % epslatex.pdf at: http://www.ctan.org/tex-archive/info/
149 %
150 % latex, and pdflatex in dvi mode, support graphics in encapsulated
151 % postscript (.eps) format. pdflatex in pdf mode supports graphics
152 % in .pdf, .jpeg, .png and .mps (metapost) formats. Users should ensure
153 % that all non-photo figures use a vector format (.eps, .pdf, .mps) and
154 % not a bitmapped formats (.jpeg, .png). IEEE frowns on bitmapped formats
155 % which can result in "jaggedy"/blurry rendering of lines and letters as
156 % well as large increases in file sizes.
157 %
158 % You can find documentation about the pdfTeX application at:
159 % http://www.tug.org/applications/pdftex
160
161
162
163
164
165 % *** MATH PACKAGES ***
166 %
167 %\usepackage[cmex10]{amsmath}
168 % A popular package from the American Mathematical Society that provides
169 % many useful and powerful commands for dealing with mathematics. If using
170 % it, be sure to load this package with the cmex10 option to ensure that
171 % only type 1 fonts will utilized at all point sizes. Without this option,
172 % it is possible that some math symbols, particularly those within
173 % footnotes, will be rendered in bitmap form which will result in a
174 % document that can not be IEEE Xplore compliant!
175 %
176 % Also, note that the amsmath package sets \interdisplaylinepenalty to 10000
177 % thus preventing page breaks from occurring within multiline equations. Use:
178 %\interdisplaylinepenalty=2500
179 % after loading amsmath to restore such page breaks as IEEEtran.cls normally
180 % does. amsmath.sty is already installed on most LaTeX systems. The latest
181 % version and documentation can be obtained at:
182 % http://www.ctan.org/tex-archive/macros/latex/required/amslatex/math/
183
184
185
186
187
188 % *** SPECIALIZED LIST PACKAGES ***
189 %
190 %\usepackage{algorithmic}
191 % algorithmic.sty was written by Peter Williams and Rogerio Brito.
192 % This package provides an algorithmic environment fo describing algorithms.
193 % You can use the algorithmic environment in-text or within a figure
194 % environment to provide for a floating algorithm. Do NOT use the algorithm
195 % floating environment provided by algorithm.sty (by the same authors) or
196 % algorithm2e.sty (by Christophe Fiorio) as IEEE does not use dedicated
197 % algorithm float types and packages that provide these will not provide
198 % correct IEEE style captions. The latest version and documentation of
199 % algorithmic.sty can be obtained at:
200 % http://www.ctan.org/tex-archive/macros/latex/contrib/algorithms/
201 % There is also a support site at:
202 % http://algorithms.berlios.de/index.html
203 % Also of interest may be the (relatively newer and more customizable)
204 % algorithmicx.sty package by Szasz Janos:
205 % http://www.ctan.org/tex-archive/macros/latex/contrib/algorithmicx/
206
207
208
209
210 % *** ALIGNMENT PACKAGES ***
211 %
212 %\usepackage{array}
213 % Frank Mittelbach's and David Carlisle's array.sty patches and improves
214 % the standard LaTeX2e array and tabular environments to provide better
215 % appearance and additional user controls. As the default LaTeX2e table
216 % generation code is lacking to the point of almost being broken with
217 % respect to the quality of the end results, all users are strongly
218 % advised to use an enhanced (at the very least that provided by array.sty)
219 % set of table tools. array.sty is already installed on most systems. The
220 % latest version and documentation can be obtained at:
221 % http://www.ctan.org/tex-archive/macros/latex/required/tools/
222
223
224 %\usepackage{mdwmath}
225 %\usepackage{mdwtab}
226 % Also highly recommended is Mark Wooding's extremely powerful MDW tools,
227 % especially mdwmath.sty and mdwtab.sty which are used to format equations
228 % and tables, respectively. The MDWtools set is already installed on most
229 % LaTeX systems. The lastest version and documentation is available at:
230 % http://www.ctan.org/tex-archive/macros/latex/contrib/mdwtools/
231
232
233 % IEEEtran contains the IEEEeqnarray family of commands that can be used to
234 % generate multiline equations as well as matrices, tables, etc., of high
235 % quality.
236
237
238 %\usepackage{eqparbox}
239 % Also of notable interest is Scott Pakin's eqparbox package for creating
240 % (automatically sized) equal width boxes - aka "natural width parboxes".
241 % Available at:
242 % http://www.ctan.org/tex-archive/macros/latex/contrib/eqparbox/
243
244
245
246
247
248 % *** SUBFIGURE PACKAGES ***
249 %\usepackage[tight,footnotesize]{subfigure}
250 % subfigure.sty was written by Steven Douglas Cochran. This package makes it
251 % easy to put subfigures in your figures. e.g., "Figure 1a and 1b". For IEEE
252 % work, it is a good idea to load it with the tight package option to reduce
253 % the amount of white space around the subfigures. subfigure.sty is already
254 % installed on most LaTeX systems. The latest version and documentation can
255 % be obtained at:
256 % http://www.ctan.org/tex-archive/obsolete/macros/latex/contrib/subfigure/
257 % subfigure.sty has been superceeded by subfig.sty.
258
259
260
261 %\usepackage[caption=false]{caption}
262 %\usepackage[font=footnotesize]{subfig}
263 % subfig.sty, also written by Steven Douglas Cochran, is the modern
264 % replacement for subfigure.sty. However, subfig.sty requires and
265 % automatically loads Axel Sommerfeldt's caption.sty which will override
266 % IEEEtran.cls handling of captions and this will result in nonIEEE style
267 % figure/table captions. To prevent this problem, be sure and preload
268 % caption.sty with its "caption=false" package option. This is will preserve
269 % IEEEtran.cls handing of captions. Version 1.3 (2005/06/28) and later 
270 % (recommended due to many improvements over 1.2) of subfig.sty supports
271 % the caption=false option directly:
272 %\usepackage[caption=false,font=footnotesize]{subfig}
273 %
274 % The latest version and documentation can be obtained at:
275 % http://www.ctan.org/tex-archive/macros/latex/contrib/subfig/
276 % The latest version and documentation of caption.sty can be obtained at:
277 % http://www.ctan.org/tex-archive/macros/latex/contrib/caption/
278
279
280
281
282 % *** FLOAT PACKAGES ***
283 %
284 %\usepackage{fixltx2e}
285 % fixltx2e, the successor to the earlier fix2col.sty, was written by
286 % Frank Mittelbach and David Carlisle. This package corrects a few problems
287 % in the LaTeX2e kernel, the most notable of which is that in current
288 % LaTeX2e releases, the ordering of single and double column floats is not
289 % guaranteed to be preserved. Thus, an unpatched LaTeX2e can allow a
290 % single column figure to be placed prior to an earlier double column
291 % figure. The latest version and documentation can be found at:
292 % http://www.ctan.org/tex-archive/macros/latex/base/
293
294
295
296 %\usepackage{stfloats}
297 % stfloats.sty was written by Sigitas Tolusis. This package gives LaTeX2e
298 % the ability to do double column floats at the bottom of the page as well
299 % as the top. (e.g., "\begin{figure*}[!b]" is not normally possible in
300 % LaTeX2e). It also provides a command:
301 %\fnbelowfloat
302 % to enable the placement of footnotes below bottom floats (the standard
303 % LaTeX2e kernel puts them above bottom floats). This is an invasive package
304 % which rewrites many portions of the LaTeX2e float routines. It may not work
305 % with other packages that modify the LaTeX2e float routines. The latest
306 % version and documentation can be obtained at:
307 % http://www.ctan.org/tex-archive/macros/latex/contrib/sttools/
308 % Documentation is contained in the stfloats.sty comments as well as in the
309 % presfull.pdf file. Do not use the stfloats baselinefloat ability as IEEE
310 % does not allow \baselineskip to stretch. Authors submitting work to the
311 % IEEE should note that IEEE rarely uses double column equations and
312 % that authors should try to avoid such use. Do not be tempted to use the
313 % cuted.sty or midfloat.sty packages (also by Sigitas Tolusis) as IEEE does
314 % not format its papers in such ways.
315
316
317
318
319
320 % *** PDF, URL AND HYPERLINK PACKAGES ***
321 %
322 %\usepackage{url}
323 % url.sty was written by Donald Arseneau. It provides better support for
324 % handling and breaking URLs. url.sty is already installed on most LaTeX
325 % systems. The latest version can be obtained at:
326 % http://www.ctan.org/tex-archive/macros/latex/contrib/misc/
327 % Read the url.sty source comments for usage information. Basically,
328 % \url{my_url_here}.
329
330
331
332
333
334 % *** Do not adjust lengths that control margins, column widths, etc. ***
335 % *** Do not use packages that alter fonts (such as pslatex).         ***
336 % There should be no need to do such things with IEEEtran.cls V1.6 and later.
337 % (Unless specifically asked to do so by the journal or conference you plan
338 % to submit to, of course. )
339
340 % correct bad hyphenation here
341 \hyphenation{op-tical net-works semi-conduc-tor}
342
343 % Macro for certain acronyms in small caps. Doesn't work with the
344 % default font, though (it contains no smallcaps it seems).
345 \def\acro#1{{\small{#1}}}
346 \def\acrop#1{\acro{#1}s}
347 \def\acrotiny#1{{\scriptsize{#1}}}
348 \def\VHDL{\acro{VHDL}}
349 \def\GHC{\acro{GHC}}
350 \def\CLaSH{{\small{C}}$\lambda$a{\small{SH}}}
351 \def\CLaSHtiny{{\scriptsize{C}}$\lambda$a{\scriptsize{SH}}}
352
353 % Macro for pretty printing haskell snippets. Just monospaced for now, perhaps
354 % we'll get something more complex later on.
355 \def\hs#1{\texttt{#1}}
356 \def\quote#1{``{#1}"}
357
358 \newenvironment{xlist}[1][\rule{0em}{0em}]{%
359   \begin{list}{}{%
360     \settowidth{\labelwidth}{#1:}
361     \setlength{\labelsep}{0.5em}
362     \setlength{\leftmargin}{\labelwidth}
363     \addtolength{\leftmargin}{\labelsep}
364     \addtolength{\leftmargin}{\parindent}
365     \setlength{\rightmargin}{0pt}
366     \setlength{\listparindent}{\parindent}
367     \setlength{\itemsep}{0 ex plus 0.2ex}
368     \renewcommand{\makelabel}[1]{##1:\hfil}
369     }
370   }
371 {\end{list}}
372
373 \usepackage{paralist}
374 \usepackage{xcolor}
375 \def\comment#1{{\color[rgb]{1.0,0.0,0.0}{#1}}}
376
377 \usepackage{cleveref}
378 \crefname{figure}{figure}{figures}
379 \newcommand{\fref}[1]{\cref{#1}} 
380 \newcommand{\Fref}[1]{\Cref{#1}}
381
382 \usepackage{epstopdf}
383
384 \epstopdfDeclareGraphicsRule{.svg}{pdf}{.pdf}{rsvg-convert --format=pdf < #1 > \noexpand\OutputFile}
385
386 %include polycode.fmt
387 %include clash.fmt
388
389 \newcounter{Codecount}
390 \setcounter{Codecount}{0}
391
392 \newenvironment{example}
393   {
394     \refstepcounter{equation}
395   }
396   {
397       \begin{flushright}
398       (\arabic{equation})
399       \end{flushright}
400   }
401
402 \begin{document}
403 %
404 % paper title
405 % can use linebreaks \\ within to get better formatting as desired
406 \title{C$\lambda$aSH: Structural Descriptions \\ of Synchronous Hardware using Haskell}
407
408
409 % author names and affiliations
410 % use a multiple column layout for up to three different
411 % affiliations
412 \author{\IEEEauthorblockN{Christiaan P.R. Baaij, Matthijs Kooijman, Jan Kuper, Marco E.T. Gerards}%, Bert Molenkamp, Sabih H. Gerez}
413 \IEEEauthorblockA{%Computer Architecture for Embedded Systems (CAES)\\ 
414 Department of EEMCS, University of Twente\\
415 P.O. Box 217, 7500 AE, Enschede, The Netherlands\\
416 c.p.r.baaij@@utwente.nl, matthijs@@stdin.nl, j.kuper@@utwente.nl}
417 % \thanks{Supported through the FP7 project: S(o)OS (248465)}
418 }
419 % \and
420 % \IEEEauthorblockN{Homer Simpson}
421 % \IEEEauthorblockA{Twentieth Century Fox\\
422 % Springfield, USA\\
423 % Email: homer@thesimpsons.com}
424 % \and
425 % \IEEEauthorblockN{James Kirk\\ and Montgomery Scott}
426 % \IEEEauthorblockA{Starfleet Academy\\
427 % San Francisco, California 96678-2391\\
428 % Telephone: (800) 555--1212\\
429 % Fax: (888) 555--1212}}
430
431 % conference papers do not typically use \thanks and this command
432 % is locked out in conference mode. If really needed, such as for
433 % the acknowledgment of grants, issue a \IEEEoverridecommandlockouts
434 % after \documentclass
435
436 % for over three affiliations, or if they all won't fit within the width
437 % of the page, use this alternative format:
438
439 %\author{\IEEEauthorblockN{Michael Shell\IEEEauthorrefmark{1},
440 %Homer Simpson\IEEEauthorrefmark{2},
441 %James Kirk\IEEEauthorrefmark{3}, 
442 %Montgomery Scott\IEEEauthorrefmark{3} and
443 %Eldon Tyrell\IEEEauthorrefmark{4}}
444 %\IEEEauthorblockA{\IEEEauthorrefmark{1}School of Electrical and Computer Engineering\\
445 %Georgia Institute of Technology,
446 %Atlanta, Georgia 30332--0250\\ Email: see http://www.michaelshell.org/contact.html}
447 %\IEEEauthorblockA{\IEEEauthorrefmark{2}Twentieth Century Fox, Springfield, USA\\
448 %Email: homer@thesimpsons.com}
449 %\IEEEauthorblockA{\IEEEauthorrefmark{3}Starfleet Academy, San Francisco, California 96678-2391\\
450 %Telephone: (800) 555--1212, Fax: (888) 555--1212}
451 %\IEEEauthorblockA{\IEEEauthorrefmark{4}Tyrell Inc., 123 Replicant Street, Los Angeles, California 90210--4321}}
452
453
454
455
456 % use for special paper notices
457 %\IEEEspecialpapernotice{(Invited Paper)}
458
459
460
461
462 % make the title area
463 \maketitle
464
465 \begin{abstract}
466 %\boldmath
467 \CLaSH\ is a functional hardware description language that borrows both its 
468 syntax and semantics from the functional programming language Haskell. 
469 Polymorphism and higher-order functions provide a level of abstraction and 
470 generality that allow a circuit designer to describe circuits in a more 
471 natural way than possible in a traditional hardware description language.
472
473 Circuit descriptions can be translated to synthesizable VHDL using the 
474 prototype \CLaSH\ compiler. As the circuit descriptions, simulation code, and 
475 test input are also valid Haskell, complete simulations can be compiled as an 
476 executable binary by a Haskell compiler allowing high-speed simulation and 
477 analysis.
478
479 \CLaSH\ supports stateful descriptions by explicitly making the current state an argument of the function, and the updated state part of the result. This makes \CLaSH\ descriptions in essence the combinational parts of a mealy machine.
480 \end{abstract}
481 % IEEEtran.cls defaults to using nonbold math in the Abstract.
482 % This preserves the distinction between vectors and scalars. However,
483 % if the conference you are submitting to favors bold math in the abstract,
484 % then you can use LaTeX's standard command \boldmath at the very start
485 % of the abstract to achieve this. Many IEEE journals/conferences frown on
486 % math in the abstract anyway.
487
488 % no keywords
489
490
491
492
493 % For peer review papers, you can put extra information on the cover
494 % page as needed:
495 % \ifCLASSOPTIONpeerreview
496 % \begin{center} \bfseries EDICS Category: 3-BBND \end{center}
497 % \fi
498 %
499 % For peerreview papers, this IEEEtran command inserts a page break and
500 % creates the second title. It will be ignored for other modes.
501 \IEEEpeerreviewmaketitle
502
503 \section{Introduction}
504 Hardware description languages (\acrop{HDL}) have allowed the productivity of 
505 hardware engineers to keep pace with the development of chip technology. 
506 Standard \acrop{HDL}, like \VHDL~\cite{VHDL2008} and Verilog~\cite{Verilog}, 
507 allow an engineer to describe circuits using a `programming' language. These 
508 standard languages are very good at describing detailed hardware properties 
509 such as timing behavior, but are generally cumbersome in expressing 
510 higher-level abstractions. In an attempt to raise the abstraction level of the 
511 descriptions, a great number of approaches based on functional languages has 
512 been proposed \cite{Cardelli1981, muFP,DAISY,FHDL,T-Ruby,Hydra,HML2,Hawk1,
513 Lava,ForSyDe1,Wired,reFLect}. The idea of using functional languages for 
514 hardware descriptions started in the early 1980s \cite{Cardelli1981,muFP,
515 DAISY,FHDL}, a time which also saw the birth of the currently popular hardware 
516 description languages such as \VHDL. Functional languages are especially well 
517 suited to describe hardware because combinational circuits can be directly 
518 modeled as mathematical functions. Functional languages are very 
519 good at describing and composing these mathematical functions.
520
521 In an attempt to decrease the amount of work involved in creating all the 
522 required tooling, such as parsers and type-checkers, many functional
523 \acrop{HDL} \cite{Hydra,Hawk1,Lava,ForSyDe1,Wired} are embedded as a domain 
524 specific language (\acro{DSL}) inside the functional language Haskell 
525 \cite{Haskell}. This means that a developer is given a library of Haskell 
526 functions and types that together form the language primitives of the 
527 \acro{DSL}. The primitive functions used to describe a circuit do not actually 
528 process any signals, but instead compose a large domain-specific datatype 
529 (which is usually hidden from the designer). This datatype is then further 
530 processed by an embedded circuit compiler. As Haskell's choice elements 
531 (\hs{if}-expressions, \hs{case}-expressions, pattern matching, etc.) are 
532 evaluated at the time the domain-specific datatype is being build, they are no 
533 longer visible to the embedded compiler that processes the datatype. Consequently, it is impossible the capture Haskell's choice elements within a circuit description when taking the embedded language approach. Descriptions can however still contain polymorphism and higher-order functions.
534
535 The approach taken in this research is not to make another \acro{DSL} embedded 
536 in Haskell, but to use (a subset of) the Haskell language \emph{itself} for 
537 the purpose of describing hardware. By taking this approach, we \emph{can} 
538 capture certain language constructs, such as Haskell's choice elements, within 
539 circuit descriptions. To the best knowledge of the authors, supporting 
540 polymorphism, higher-order functions and such an extensive array of 
541 choice-elements is new in the domain of (functional) \acrop{HDL}. 
542 % As the hardware descriptions are plain Haskell 
543 % functions, these descriptions can be compiled to an executable binary
544 % for simulation using an optimizing Haskell compiler such as the Glasgow
545 % Haskell Compiler (\GHC)~\cite{ghc}.
546
547 Where descriptions in a conventional \acro{HDL} have an explicit clock for the 
548 purposes state and synchronicity, the clock is implied in the context of the 
549 research presented in this paper. A circuit designer describes the behavior of 
550 the hardware between clock cycles. Many functional \acrop{HDL} model signals 
551 as a stream of all values over time; state is then modeled as a delay on this 
552 stream of values. The approach taken in this research is to make the current 
553 state of a circuit part of the input of the function and the updated state 
554 part of the output. The current abstraction of state and time limits the 
555 descriptions to synchronous hardware, there is however room within the 
556 language to eventually add a different abstraction mechanism that will allow 
557 for the modeling of asynchronous systems.
558
559 Like the traditional \acrop{HDL}, descriptions made in a functional \acro{HDL} 
560 must eventually be converted into a netlist. This research also features a 
561 prototype translator, which has the same name as the language: 
562 \CLaSH\footnote{\CLaSHtiny: \acrotiny{CAES} Language for Synchronous Hardware} 
563 (pronounced: clash). This compiler converts the Haskell code to equivalently 
564 behaving synthesizable \VHDL\ code, ready to be converted to an actual netlist 
565 format by an (optimizing) \VHDL\ synthesis tool.
566
567 Besides trivial circuits such as variants of both the \acro{FIR} filter and 
568 the simple \acro{CPU} shown in \Cref{sec:usecases}, the \CLaSH\ compiler has 
569 also been able to successfully translate non-trivial functional descriptions 
570 such as a streaming reduction circuit~\cite{reductioncircuit} for floating 
571 point numbers.
572
573 \section{Hardware description in Haskell}
574
575   \subsection{Function application}
576     Two basic syntactic elements of a functional program are functions
577     and function application. These have a single obvious translation to a 
578     netlist format: 
579     \begin{inparaenum}
580       \item every function is translated to a component, 
581       \item every function argument is translated to an input port,
582       \item the result value of a function is translated to an output port, 
583             and
584       \item function applications are translated to component instantiations.
585     \end{inparaenum} 
586     The result value can have a composite type (such as a tuple), so having 
587     just a single result value does not pose any limitation. The actual 
588     arguments of a function application are assigned to signals, which are 
589     then mapped to the corresponding input ports of the component. The output 
590     port of the function is also mapped to a signal, which is used as the 
591     result of the application itself. Since every top level function generates 
592     its own component, the hierarchy of function calls is reflected in the 
593     final netlist, creating a hierarchical description of the hardware. 
594     % The separation in different components makes it easier for a developer 
595     % to understand and possibly hand-optimize the resulting \VHDL\ output of 
596     % the \CLaSH\ compiler.
597
598     The short example (\ref{lst:code1}) demonstrated below gives an indication 
599     of the level of conciseness that can be achieved with functional hardware 
600     description languages when compared with the more traditional hardware 
601     description languages. The example is a combinational multiply-accumulate 
602     circuit that works for \emph{any} word length (this type of polymorphism 
603     will be further elaborated in \Cref{sec:polymorhpism}). The corresponding 
604     netlist is depicted in \Cref{img:mac-comb}.
605     
606     \hspace{-1.7em}
607     \begin{minipage}{0.93\linewidth}
608     \begin{code}
609     mac a b c = add (mul a b) c
610     \end{code}
611     \end{minipage}
612     \begin{minipage}{0.07\linewidth}
613       \begin{example}
614       \label{lst:code1}
615       \end{example}
616     \end{minipage}
617     
618     \begin{figure}
619     \centerline{\includegraphics{mac.svg}}
620     \caption{Combinatorial Multiply-Accumulate}
621     \label{img:mac-comb}
622     \end{figure}
623     
624     The use of a composite result value is demonstrated in the next example 
625     (\ref{lst:code2}), where the multiply-accumulate circuit not only returns 
626     the accumulation result, but also the intermediate multiplication result. 
627     Its corresponding netlist can be see in \Cref{img:mac-comb-composite}.
628     
629     \hspace{-1.7em}
630     \begin{minipage}{0.93\linewidth}
631     \begin{code}
632     mac a b c = (z, add z c)
633       where
634         z = mul a b
635     \end{code}
636     \end{minipage}
637     \begin{minipage}{0.07\linewidth}
638       \begin{example}
639       \label{lst:code2}
640       \end{example}
641     \end{minipage}
642     
643     \begin{figure}
644     \centerline{\includegraphics{mac-nocurry.svg}}
645     \caption{Combinatorial Multiply-Accumulate (composite output)}
646     \label{img:mac-comb-composite}
647     \end{figure}
648
649   \subsection{Choice}
650     In Haskell, choice can be achieved by a large set of syntactic elements, 
651     consisting of: \hs{case} expressions, \hs{if-then-else} expressions, 
652     pattern matching, and guards. The most general of these are the \hs{case} 
653     expressions (\hs{if} expressions can be very directly translated to 
654     \hs{case} expressions). A \hs{case} expression is translated to a 
655     multiplexer, where the control value is fed into a number of
656     comparators and their output is used to compose the selection port
657     of the multiplexer. The result of each alternative is linked to the
658     corresponding input port on the multiplexer.
659     % A \hs{case} expression can in turn simply be translated to a conditional 
660     % assignment in \VHDL, where the conditions use equality comparisons 
661     % against the constructors in the \hs{case} expressions. 
662     We can see two versions of a contrived example below, the first  
663     (\ref{lst:code3}) using a \hs{case} expression, and the other 
664     (\ref{lst:code4}) using an \hs{if-then-else} expression . Both examples 
665     sums two values when they are equal or non-equal (depending on the given 
666     predicate, the \hs{pred} variable) and returns 0 otherwise. The \hs{pred} 
667     variable has the following, user-defined, enumeration datatype:
668     
669     \begin{code}
670     data Pred = Equal | NotEqual
671     \end{code}
672
673     The naive netlist corresponding to both versions of the example is 
674     depicted in \Cref{img:choice}. Note that the \hs{pred} variable is only
675     compared to the \hs{Equal} value, as an inequality immediately implies 
676     that the \hs{pred} variable has a \hs{NotEqual} value.
677
678     \hspace{-1.7em}
679     \begin{minipage}{0.93\linewidth}
680     \begin{code}    
681     sumif pred a b = case pred of
682       Equal -> case a == b of
683         True      -> a + b
684         False     -> 0
685       NotEqual  -> case a != b of
686         True      -> a + b
687         False     -> 0
688     \end{code}
689     \end{minipage}
690     \begin{minipage}{0.07\linewidth}
691       \begin{example}
692       \label{lst:code3}
693       \end{example}
694     \end{minipage}
695
696     \hspace{-1.7em}
697     \begin{minipage}{0.93\linewidth}
698     \begin{code}
699     sumif pred a b = 
700       if pred == Equal then 
701         if a == b then a + b else 0
702       else 
703         if a != b then a + b else 0
704     \end{code}
705     \end{minipage}
706     \begin{minipage}{0.07\linewidth}
707       \begin{example}
708       \label{lst:code4}
709       \end{example}
710     \end{minipage}
711
712     \begin{figure}
713     \centerline{\includegraphics{choice-case.svg}}
714     \caption{Choice - sumif}
715     \label{img:choice}
716     \end{figure}
717
718     A user-friendly and also very powerful form of choice that is not found in 
719     the traditional hardware description languages is pattern matching. A 
720     function can be defined in multiple clauses, where each clause corresponds 
721     to a pattern. When an argument matches a pattern, the corresponding clause 
722     will be used. Expressions can also contain guards, where the expression is 
723     only executed if the guard evaluates to true, and continues with the next 
724     clause if the guard evaluates to false. Like \hs{if-then-else} 
725     expressions, pattern matching and guards have a (straightforward) 
726     translation to \hs{case} expressions and can as such be mapped to 
727     multiplexers. A third version (\ref{lst:code5}) of the earlier example, 
728     using both pattern matching and guards, can be seen below. The guard is 
729     the expression that follows the vertical bar (\hs{|}) and precedes the 
730     assignment operator (\hs{=}). The \hs{otherwise} guards always evaluate to 
731     \hs{true}.
732     
733     The version using pattern matching and guards corresponds to the same 
734     naive netlist representation (\Cref{img:choice}) as the earlier two 
735     versions of the example.
736     
737     \hspace{-1.7em}
738     \begin{minipage}{0.93\linewidth}
739     \begin{code}
740     sumif Equal     a b   | a == b      = a + b
741                           | otherwise   = 0
742     sumif NotEqual  a b   | a != b      = a + b
743                           | otherwise   = 0
744     \end{code}
745     \end{minipage}
746     \begin{minipage}{0.07\linewidth}
747       \begin{example}
748       \label{lst:code5}
749       \end{example}
750     \end{minipage}
751
752     % \begin{figure}
753     % \centerline{\includegraphics{choice-ifthenelse}}
754     % \caption{Choice - \emph{if-then-else}}
755     % \label{img:choice}
756     % \end{figure}
757
758   \subsection{Types}
759     Haskell is a statically-typed language, meaning that the type of a 
760     variable or function is determined at compile-time. Not all of Haskell's 
761     typing constructs have a clear translation to hardware, this section will 
762     therefore only deal with the types that do have a clear correspondence 
763     to hardware. The translatable types are divided into two categories: 
764     \emph{built-in} types and \emph{user-defined} types. Built-in types are 
765     those types for which a fixed translation is defined within the \CLaSH\ 
766     compiler. The \CLaSH\ compiler has generic translation rules to
767     translated the user-defined types described below.
768
769     The \CLaSH\ compiler is able to infer unspecified types,
770     meaning that a developer does not have to annotate every function with a 
771     type signature (even if it is good practice to do so).
772   
773     % Translation of two most basic functional concepts has been
774     % discussed: function application and choice. Before looking further
775     % into less obvious concepts like higher-order expressions and
776     % polymorphism, the possible types that can be used in hardware
777     % descriptions will be discussed.
778     % 
779     % Some way is needed to translate every value used to its hardware
780     % equivalents. In particular, this means a hardware equivalent for
781     % every \emph{type} used in a hardware description is needed.
782     % 
783     % The following types are \emph{built-in}, meaning that their hardware
784     % translation is fixed into the \CLaSH\ compiler. A designer can also
785     % define his own types, which will be translated into hardware types
786     % using translation rules that are discussed later on.
787
788   \subsubsection{Built-in types}
789     The following types have fixed translations defined within the \CLaSH\
790     compiler:
791     \begin{xlist}
792       \item[\bf{Bit}]
793         the most basic type available. It can have two values:
794         \hs{Low} or \hs{High}. 
795         % It is mapped directly onto the \texttt{std\_logic} \VHDL\ type. 
796       \item[\bf{Bool}]
797         this is a basic logic type. It can have two values: \hs{True}
798         or \hs{False}. 
799         % It is translated to \texttt{std\_logic} exactly like the \hs{Bit} 
800         % type (where a value of \hs{True} corresponds to a value of 
801         % \hs{High}). 
802         Supporting the Bool type is required in order to support the
803         \hs{if-then-else} expression, which requires a \hs{Bool} value for 
804         the condition.
805       \item[\bf{SizedWord}, \bf{SizedInt}]
806         these are types to represent integers. A \hs{SizedWord} is unsigned,
807         while a \hs{SizedInt} is signed. Both are parametrizable in their 
808         size. 
809         % , so you can define an unsigned word of 32 bits wide as follows:
810
811         % \begin{code}
812         % type Word32 = SizedWord D32
813         % \end{code}
814
815         % Here, a type synonym \hs{Word32} is defined that is equal to the
816         % \hs{SizedWord} type constructor applied to the type \hs{D32}. 
817         % \hs{D32} is the \emph{type level representation} of the decimal 
818         % number 32, making the \hs{Word32} type a 32-bit unsigned word. These 
819         % types are translated to the \VHDL\ \texttt{unsigned} and 
820         % \texttt{signed} respectively.
821       \item[\bf{Vector}]
822         this is a vector type that can contain elements of any other type and
823         has a fixed length. The \hs{Vector} type constructor takes two type 
824         arguments: the length of the vector and the type of the elements 
825         contained in it. The short-hand notation used for the vector type in  
826         the rest of paper is: \hs{[a|n]}, here \hs{a} is the element 
827         type, and \hs{n} is the length of the vector. Note that this is
828         a notation used in this paper only, vectors are slightly more
829         verbose in real \CLaSH\ descriptions.
830         % The state type of an 8 element register bank would then for example 
831         % be:
832
833         % \begin{code}
834         % type RegisterState = Vector D8 Word32
835         % \end{code}
836
837         % Here, a type synonym \hs{RegisterState} is defined that is equal to
838         % the \hs{Vector} type constructor applied to the types \hs{D8} (The 
839         % type level representation of the decimal number 8) and \hs{Word32} 
840         % (The 32 bit word type as defined above). In other words, the 
841         % \hs{RegisterState} type is a vector of 8 32-bit words. A fixed size 
842         % vector is translated to a \VHDL\ array type.
843       \item[\bf{Index}]
844         this is another type to describe integers, but unlike the previous
845         two it has no specific bit-width, but an upper bound. This means that
846         its range is not limited to powers of two, but can be any number.
847         An \hs{Index} only has an upper bound, its lower bound is
848         implicitly zero. The main purpose of the \hs{Index} type is to be 
849         used as an index to a \hs{Vector}.
850
851         % \comment{TODO: Perhaps remove this example?} To define an index for 
852         % the 8 element vector above, we would do:
853
854         % \begin{code}
855         % type RegisterIndex = RangedWord D7
856         % \end{code}
857
858         % Here, a type synonym \hs{RegisterIndex} is defined that is equal to
859         % the \hs{RangedWord} type constructor applied to the type \hs{D7}. In
860         % other words, this defines an unsigned word with values from
861         % 0 to 7 (inclusive). This word can be be used to index the
862         % 8 element vector \hs{RegisterState} above. This type is translated 
863         % to the \texttt{unsigned} \VHDL type.
864     \end{xlist}
865
866   \subsubsection{User-defined types}
867     There are three ways to define new types in Haskell: algebraic
868     data-types with the \hs{data} keyword, type synonyms with the \hs{type}
869     keyword and datatype renaming constructs with the \hs{newtype} keyword. 
870     \GHC\ offers a few more advanced ways to introduce types (type families,
871     existential typing, {\acro{GADT}}s, etc.) which are not standard Haskell. 
872     As it is currently unclear how these advanced type constructs correspond 
873     to hardware, they are for now unsupported by the \CLaSH\ compiler.
874
875     Only an algebraic datatype declaration actually introduces a
876     completely new type. Type synonyms and type renaming only define new 
877     names for existing types, where synonyms are completely interchangeable 
878     and type renaming requires explicit conversions. Therefore, these do not 
879     need any particular translation, a synonym or renamed type will just use 
880     the same representation as the original type. 
881     
882     For algebraic types, we can make the following distinctions:
883     \begin{xlist}
884       \item[\bf{Single constructor}]
885         Algebraic datatypes with a single constructor with one or more
886         fields, are essentially a way to pack a few values together in a
887         record-like structure. Haskell's built-in tuple types are also defined 
888         as single constructor algebraic types (but with a bit of
889         syntactic sugar). An example of a single constructor type is the
890         following pair of integers:
891         \begin{code}
892         data IntPair = IntPair Int Int
893         \end{code}
894         % These types are translated to \VHDL\ record types, with one field 
895         % for every field in the constructor.
896       \item[\bf{No fields}]
897         Algebraic datatypes with multiple constructors, but without any
898         fields are essentially a way to get an enumeration-like type
899         containing alternatives. Note that Haskell's \hs{Bool} type is also 
900         defined as an enumeration type, but that there is a fixed translation 
901         for that type within the \CLaSH\ compiler. An example of such an 
902         enumeration type is the type that represents the colors in a traffic 
903         light:
904         \begin{code}
905         data TrafficLight = Red | Orange | Green
906         \end{code}
907         % These types are translated to \VHDL\ enumerations, with one 
908         % value for each constructor. This allows references to these 
909         % constructors to be translated to the corresponding enumeration 
910         % value.
911       \item[\bf{Multiple constructors with fields}]
912         Algebraic datatypes with multiple constructors, where at least
913         one of these constructors has one or more fields are currently not 
914         supported.
915     \end{xlist}
916
917   \subsection{Polymorphism}\label{sec:polymorhpism}
918     A powerful feature of most (functional) programming languages is 
919     polymorphism, it allows a function to handle values of different data 
920     types in a uniform way. Haskell supports \emph{parametric 
921     polymorphism}~\cite{polymorphism}, meaning functions can be written 
922     without mention of any specific type and can be used transparently with 
923     any number of new types.
924
925     As an example of a parametric polymorphic function, consider the type of 
926     the following \hs{append} function, which appends an element to a
927     vector:\footnote{The \hs{::} operator is used to annotate a function
928     with its type.}
929     
930     \begin{code}
931     append :: [a|n] -> a -> [a|n + 1]
932     \end{code}
933
934     This type is parameterized by \hs{a}, which can contain any type at
935     all. This means that \hs{append} can append an element to a vector,
936     regardless of the type of the elements in the list (as long as the type of 
937     the value to be added is of the same type as the values in the vector). 
938     This kind of polymorphism is extremely useful in hardware designs to make 
939     operations work on a vector without knowing exactly what elements are 
940     inside, routing signals without knowing exactly what kinds of signals 
941     these are, or working with a vector without knowing exactly how long it 
942     is. Polymorphism also plays an important role in most higher order 
943     functions, as we will see in the next section.
944
945     Another type of polymorphism is \emph{ad-hoc 
946     polymorphism}~\cite{polymorphism}, which refers to polymorphic 
947     functions which can be applied to arguments of different types, but which 
948     behave differently depending on the type of the argument to which they are 
949     applied. In Haskell, ad-hoc polymorphism is achieved through the use of 
950     type classes, where a class definition provides the general interface of a 
951     function, and class instances define the functionality for the specific 
952     types. An example of such a type class is the \hs{Num} class, which 
953     contains all of Haskell's numerical operations. A designer can make use 
954     of this ad-hoc polymorphism by adding a constraint to a parametrically 
955     polymorphic type variable. Such a constraint indicates that the type 
956     variable can only be instantiated to a type whose members supports the 
957     overloaded functions associated with the type class. 
958     
959     As an example we will take a look at type signature of the function 
960     \hs{sum}, which sums the values in a vector:
961     \begin{code}
962     sum :: Num a => [a|n] -> a
963     \end{code}
964
965     This type is again parameterized by \hs{a}, but it can only contain
966     types that are \emph{instances} of the \emph{type class} \hs{Num}, so that  
967     we know that the addition (+) operator is defined for that type. 
968     \CLaSH's built-in numerical types are also instances of the \hs{Num}
969     class, so we can use the addition operator (and thus the \hs{sum}
970     function) with \hs{SizedWords} as well as with \hs{SizedInts}.
971
972     In \CLaSH, parametric polymorphism is completely supported. Any function 
973     defined can have any number of unconstrained type parameters. The \CLaSH\ 
974     compiler will infer the type of every such argument depending on how the 
975     function is applied. There is however one constraint: the top level 
976     function that is being translated can not have any polymorphic arguments. 
977     The arguments can not be polymorphic as the function is never applied and 
978     consequently there is no way to determine the actual types for the type 
979     parameters.
980
981     \CLaSH\ does \emph{currently} not support\emph{ user-defined} type 
982     classes, but does use some of the standard Haskell type classes for its 
983     built-in function, such as: \hs{Num} for numerical operations, \hs{Eq} for 
984     the equality operators, and \hs{Ord} for the comparison/order operators.
985
986   \subsection{Higher-order functions \& values}
987     Another powerful abstraction mechanism in functional languages, is
988     the concept of \emph{higher-order functions}, or \emph{functions as
989     a first class value}. This allows a function to be treated as a
990     value and be passed around, even as the argument of another
991     function. The following example should clarify this concept:
992     
993     \hspace{-1.7em}
994     \begin{minipage}{0.93\linewidth}
995     %format not = "\mathit{not}"
996     \begin{code}
997     negateVector xs = map not xs
998     \end{code}
999     \end{minipage}
1000     \begin{minipage}{0.07\linewidth}
1001       \begin{example}
1002       \label{lst:code6}
1003       \end{example}
1004     \end{minipage}
1005
1006     The code above defines the \hs{negateVector} function, which takes a 
1007     vector of booleans, \hs{xs}, and returns a vector where all the values are 
1008     negated. It achieves this by calling the \hs{map} function, and passing it 
1009     \emph{another function}, boolean negation, and the vector of booleans, 
1010     \hs{xs}. The \hs{map} function applies the negation function to all the 
1011     elements in the vector.
1012
1013     The \hs{map} function is called a higher-order function, since it takes 
1014     another function as an argument. Also note that \hs{map} is again a 
1015     parametric polymorphic function: it does not pose any constraints on the 
1016     type of the input vector, other than that its elements must have the same 
1017     type as the first argument of the function passed to \hs{map}. The element 
1018     type of the resulting vector is equal to the return type of the function 
1019     passed, which need not necessarily be the same as the element type of the 
1020     input vector. All of these characteristics  can readily be inferred from 
1021     the type signature belonging to \hs{map}:
1022
1023     \begin{code}
1024     map :: (a -> b) -> [a|n] -> [b|n]
1025     \end{code}
1026
1027     So far, only functions have been used as higher-order values. In
1028     Haskell, there are two more ways to obtain a function-typed value:
1029     partial application and lambda abstraction. Partial application
1030     means that a function that takes multiple arguments can be applied
1031     to a single argument, and the result will again be a function (but
1032     that takes one argument less). As an example, consider the following
1033     expression, that adds one to every element of a vector:
1034
1035     \hspace{-1.7em}
1036     \begin{minipage}{0.93\linewidth}
1037     \begin{code}
1038     map (add 1) xs
1039     \end{code}
1040     \end{minipage}
1041     \begin{minipage}{0.07\linewidth}
1042       \begin{example}
1043       \label{lst:code7}
1044       \end{example}
1045     \end{minipage}
1046
1047     Here, the expression \hs{(add 1)} is the partial application of the
1048     addition function to the value \hs{1}, which is again a function that
1049     adds one to its (next) argument. A lambda expression allows one to 
1050     introduce an anonymous function in any expression. Consider the following 
1051     expression, which again adds one to every element of a vector:
1052
1053     \hspace{-1.7em}
1054     \begin{minipage}{0.93\linewidth}
1055     \begin{code}
1056     map (\x -> x + 1) xs
1057     \end{code}
1058     \end{minipage}
1059     \begin{minipage}{0.07\linewidth}
1060       \begin{example}
1061       \label{lst:code8}
1062       \end{example}
1063     \end{minipage}
1064
1065     Finally, not only built-in functions can have higher order
1066     arguments, but any function defined in \CLaSH can have function
1067     arguments. This allows the hardware designer to use a powerful
1068     abstraction mechanism in his designs and have an optimal amount of
1069     code reuse. The only exception is again the top-level function: if a 
1070     function-typed argument is not applied with an actual function, no 
1071     hardware can be generated.    
1072
1073     % \comment{TODO: Describe ALU example (no code)}
1074
1075   \subsection{State}
1076     A very important concept in hardware is the concept of state. In a 
1077     stateful design, the outputs depend on the history of the inputs, or the 
1078     state. State is usually stored in registers, which retain their value 
1079     during a clock cycle. As we want to describe more than simple 
1080     combinational designs, \CLaSH\ needs an abstraction mechanism for state.
1081
1082     An important property in Haskell, and in most other functional languages, 
1083     is \emph{purity}. A function is said to be \emph{pure} if it satisfies two
1084     conditions:
1085     \begin{inparaenum}
1086       \item given the same arguments twice, it should return the same value in 
1087       both cases, and
1088       \item that the function has no observable side-effects.
1089     \end{inparaenum}
1090     % This purity property is important for functional languages, since it 
1091     % enables all kinds of mathematical reasoning that could not be guaranteed 
1092     % correct for impure functions. 
1093     Pure functions are as such a perfect match for combinational circuits, 
1094     where the output solely depends on the inputs. When a circuit has state 
1095     however, it can no longer be simply described by a pure function. 
1096     % Simply removing the purity property is not a valid option, as the 
1097     % language would then lose many of it mathematical properties. 
1098     In \CLaSH\ we deal with the concept of state in pure functions by making 
1099     current value of the state an additional argument of the function and the 
1100     updated state part of result. In this sense the descriptions made in 
1101     \CLaSH\ are the combinational parts of a mealy machine.
1102     
1103     A simple example is adding an accumulator register to the earlier 
1104     multiply-accumulate circuit, of which the resulting netlist can be seen in 
1105     \Cref{img:mac-state}:
1106     
1107     \hspace{-1.7em}
1108     \begin{minipage}{0.93\linewidth}
1109     \begin{code}
1110     macS (State c) a b = (State c', c')
1111       where
1112         c' = mac a b c
1113     \end{code}
1114     \end{minipage}
1115     \begin{minipage}{0.07\linewidth}
1116       \begin{example}
1117       \label{lst:code9}
1118       \end{example}
1119     \end{minipage}
1120     
1121     \begin{figure}
1122     \centerline{\includegraphics{mac-state.svg}}
1123     \caption{Stateful Multiply-Accumulate}
1124     \label{img:mac-state}
1125     \end{figure}
1126     
1127     Note that the \hs{macS} function returns bot the new state and the value
1128     of the output port. The \hs{State} keyword indicates which arguments are 
1129     part of the current state, and what part of the output is part of the 
1130     updated state. This aspect will also be reflected in the type signature of 
1131     the function. Abstracting the state of a circuit in this way makes it very 
1132     explicit: which variables are part of the state is completely determined 
1133     by the type signature. This approach to state is well suited to be used in 
1134     combination with the existing code and language features, such as all the 
1135     choice elements, as state values are just normal values. We can simulate 
1136     stateful descriptions using the recursive \hs{run} function:
1137     
1138     \hspace{-1.7em}
1139     \begin{minipage}{0.93\linewidth}
1140     \begin{code}
1141     run f s (i : inps) = o : (run f s' inps)
1142       where
1143         (s', o) = f s i
1144     \end{code}
1145     \end{minipage}
1146     \begin{minipage}{0.07\linewidth}
1147       \begin{example}
1148       \label{lst:code10}
1149       \end{example}
1150     \end{minipage}
1151     
1152     The \hs{(:)} operator is the list concatenation operator, where the 
1153     left-hand side is the head of a list and the right-hand side is the 
1154     remainder of the list. The \hs{run} function applies the function the 
1155     developer wants to simulate, \hs{f}, to the current state, \hs{s}, and the 
1156     first input value, \hs{i}. The result is the first output value, \hs{o}, 
1157     and the updated state \hs{s'}. The next iteration of the \hs{run} function 
1158     is then called with the updated state, \hs{s'}, and the rest of the 
1159     inputs, \hs{inps}. For the time being, and in the context of this paper, 
1160     It is assumed that there is one input per clock cycle.
1161     Also note how the order of the input, output, and state in the \hs{run} 
1162     function corresponds with the order of the input, output and state of the 
1163     \hs{macS} function described earlier.
1164     
1165     As the \hs{run} function, the hardware description, and the test 
1166     inputs are also valid Haskell, the complete simulation can be compiled to 
1167     an executable binary by an optimizing Haskell compiler, or executed in an 
1168     Haskell interpreter. Both simulation paths are much faster than first 
1169     translating the description to \VHDL\ and then running a \VHDL\ 
1170     simulation.
1171     
1172 \section{The \CLaSH\ compiler}
1173 An important aspect in this research is the creation of the prototype 
1174 compiler, which allows us to translate descriptions made in the \CLaSH\ 
1175 language as described in the previous section to synthesizable \VHDL, allowing 
1176 a designer to actually run a \CLaSH\ design on an \acro{FPGA}.
1177
1178 The Glasgow Haskell Compiler (\GHC) is an open-source Haskell compiler that 
1179 also provides a high level API to most of its internals. The availability of 
1180 this high-level API obviated the need to design many of the tedious parts of 
1181 the prototype compiler, such as the parser, semantic checker, and especially 
1182 the type-checker. These parts together form the front-end of the prototype compiler pipeline, as seen in \Cref{img:compilerpipeline}.
1183
1184 \begin{figure}
1185 \centerline{\includegraphics{compilerpipeline.svg}}
1186 \caption{\CLaSHtiny\ compiler pipeline}
1187 \label{img:compilerpipeline}
1188 \end{figure}
1189
1190 The output of the \GHC\ front-end consists of the translation of the original Haskell description in \emph{Core}~\cite{Sulzmann2007}, which is a smaller, typed, functional language. This \emph{Core} language is relatively easy to process compared to the larger Haskell language. A description in \emph{Core} can still contain elements which have no direct translation to hardware, such as polymorphic types and function-valued arguments. Such a description needs to be transformed to a \emph{normal form}, which only contains elements that have a direct translation. The second stage of the compiler, the \emph{normalization} phase, exhaustively applies a set of \emph{meaning-preserving} transformations on the \emph{Core} description until this description is in a \emph{normal form}. This set of transformations includes transformations typically found in reduction systems and lambda calculus~\cite{lambdacalculus}, such as $\beta$-reduction and $\eta$-expansion. It also includes self-defined transformations that are responsible for the reduction of higher-order functions to `regular' first-order functions.
1191
1192 The final step in the compiler pipeline is the translation to a \VHDL\ 
1193 \emph{netlist}, which is a straightforward process due to resemblance of a 
1194 normalized description and a set of concurrent signal assignments. We call the 
1195 end-product of the \CLaSH\ compiler a \VHDL\ \emph{netlist} as the resulting 
1196 \VHDL\ resembles an actual netlist description and not idiomatic \VHDL.
1197
1198 \section{Use cases}
1199 \label{sec:usecases}
1200 \subsection{FIR Filter}
1201 As an example of a common hardware design where the use of higher-order
1202 functions leads to a very natural description is a \acro{FIR} filter, which is 
1203 basically the dot-product of two vectors:
1204
1205 \begin{equation}
1206 y_t  = \sum\nolimits_{i = 0}^{n - 1} {x_{t - i}  \cdot h_i } 
1207 \end{equation}
1208
1209 A \acro{FIR} filter multiplies fixed constants ($h$) with the current 
1210 and a few previous input samples ($x$). Each of these multiplications
1211 are summed, to produce the result at time $t$. The equation of a \acro{FIR} 
1212 filter is indeed equivalent to the equation of the dot-product, which is 
1213 shown below:
1214
1215 \begin{equation}
1216 \mathbf{a}\bullet\mathbf{b} = \sum\nolimits_{i = 0}^{n - 1} {a_i \cdot b_i } 
1217 \end{equation}
1218
1219 We can easily and directly implement the equation for the dot-product
1220 using higher-order functions:
1221
1222 \hspace{-1.7em}
1223 \begin{minipage}{0.93\linewidth}
1224 \begin{code}
1225 as *+* bs = foldl1 (+) (zipWith (*) as bs)
1226 \end{code}
1227 \end{minipage}
1228 \begin{minipage}{0.07\linewidth}
1229   \begin{example}
1230   \label{lst:code13}
1231   \end{example}
1232 \end{minipage}
1233
1234 The \hs{zipWith} function is very similar to the \hs{map} function seen 
1235 earlier: It takes a function, two vectors, and then applies the function to 
1236 each of the elements in the two vectors pairwise (\emph{e.g.}, \hs{zipWith (*) 
1237 [1, 2] [3, 4]} becomes \hs{[1 * 3, 2 * 4]}).
1238
1239 The \hs{foldl1} function takes a binary function, a single vector, and applies 
1240 the function to the first two elements of the vector. It then applies the
1241 function to the result of the first application and the next element in the 
1242 vector. This continues until the end of the vector is reached. The result of 
1243 the \hs{foldl1} function is the result of the last application. It is obvious 
1244 that the \hs{zipWith (*)} function is pairwise multiplication and that the 
1245 \hs{foldl1 (+)} function is summation.
1246 % Returning to the actual \acro{FIR} filter, we will slightly change the 
1247 % equation describing it, so as to make the translation to code more obvious and 
1248 % concise. What we do is change the definition of the vector of input samples 
1249 % and delay the computation by one sample. Instead of having the input sample 
1250 % received at time $t$ stored in $x_t$, $x_0$ now always stores the newest 
1251 % sample, and $x_i$ stores the $ith$ previous sample. This changes the equation 
1252 % to the following (note that this is completely equivalent to the original 
1253 % equation, just with a different definition of $x$ that will better suit the 
1254 % transformation to code):
1255
1256 % \begin{equation}
1257 % y_t  = \sum\nolimits_{i = 0}^{n - 1} {x_i  \cdot h_i } 
1258 % \end{equation}
1259 The complete definition of the \acro{FIR} filter in code then becomes:
1260
1261 \hspace{-1.7em}
1262 \begin{minipage}{0.93\linewidth}
1263 \begin{code}
1264 fir (State (xs,hs)) x = 
1265   (State (x >> xs,hs), (x +> xs) *+* hs)
1266 \end{code}
1267 \end{minipage}
1268 \begin{minipage}{0.07\linewidth}
1269   \begin{example}
1270   \label{lst:code14}
1271   \end{example}
1272 \end{minipage}
1273
1274 Where the vector \hs{xs} contains the previous input samples, the vector \hs{hs} contains the \acro{FIR} coefficients, and \hs{x} is the current input sample. The concatenate operator (\hs{+>}) creates a new vector by placing the current sample (\hs{x}) in front of the previous samples vector (\hs{xs}). The code for the shift (\hs{>>}) operator, that adds the new input sample (\hs{x}) to the list of previous input samples (\hs{xs}) and removes the oldest sample, is shown below:
1275
1276 \hspace{-1.7em}
1277 \begin{minipage}{0.93\linewidth}
1278 \begin{code}
1279 x >> xs = x +> init xs  
1280 \end{code}
1281 \end{minipage}
1282 \begin{minipage}{0.07\linewidth}
1283   \begin{example}
1284   \label{lst:code15}
1285   \end{example}
1286 \end{minipage}
1287
1288 Where the \hs{init} function returns all but the last element of a vector. 
1289 The resulting netlist of a 4-taps \acro{FIR} filter, created by specializing 
1290 the vectors of the \acro{FIR} code to a length of 4, is depicted in 
1291 \Cref{img:4tapfir}.
1292
1293 \begin{figure}
1294 \centerline{\includegraphics{4tapfir.svg}}
1295 \caption{4-taps \acrotiny{FIR} Filter}
1296 \label{img:4tapfir}
1297 \vspace{-1.5em}
1298 \end{figure}
1299
1300 \subsection{Higher-order CPU}
1301 The following simple \acro{CPU} is an example of user-defined higher order
1302 functions and pattern matching. The \acro{CPU} consists of four function 
1303 units, of which three have a fixed function and one can perform certain less
1304 common operations.
1305
1306 The \acro{CPU} contains a number of data sources, represented by the 
1307 horizontal wires in \Cref{img:highordcpu}. These data sources offer the 
1308 previous outputs of each function units, along with the single data input the 
1309 \acro{CPU} has and two fixed initialization values.
1310
1311 Each of the function units has both its operands connected to all data
1312 sources, and can be programmed to select any data source for either
1313 operand. In addition, the leftmost function unit has an additional
1314 opcode input to select the operation it performs. The output of the rightmost 
1315 function unit is also the output of the entire \acro{CPU}.
1316
1317 Looking at the code, the function unit (\hs{fu}) is the most simple. It 
1318 arranges the operand selection for the function unit. Note that it does not
1319 define the actual operation that takes place inside the function unit,
1320 but simply accepts the (higher-order) argument \hs{op} which is a function
1321 of two arguments that defines the operation.
1322
1323 \hspace{-1.7em}
1324 \begin{minipage}{0.93\linewidth}
1325 \begin{code}
1326 fu op inputs (addr1, addr2) = regIn
1327   where
1328     in1     = inputs!addr1
1329     in2     = inputs!addr2
1330     regIn   = op in1 in2
1331 \end{code}
1332 \end{minipage}
1333 \begin{minipage}{0.07\linewidth}
1334   \begin{example}
1335   \label{lst:code16}
1336   \end{example}
1337 \end{minipage}
1338
1339 The \hs{multiop} function defines the operation that takes place in the
1340 leftmost function unit. It is essentially a simple three operation \acro{ALU}
1341 that makes good use of pattern matching and guards in its description.
1342 The \hs{shift} function used here shifts its first operand by the number
1343 of bits indicated in the second operand, the \hs{xor} function produces
1344 the bitwise xor of its operands.
1345
1346 \hspace{-1.7em}
1347 \begin{minipage}{0.93\linewidth}
1348 \begin{code}
1349 data Opcode = Shift | Xor | Equal
1350
1351 multiop :: Opcode -> Word -> Word -> Word
1352 multiop Shift   a b                 = shift a b
1353 multiop Xor     a b                 = xor a b
1354 multiop Equal   a b   | a == b      = 1
1355                       | otherwise   = 0
1356 \end{code}
1357 \end{minipage}
1358 \begin{minipage}{0.07\linewidth}
1359   \begin{example}
1360   \label{lst:code17}
1361   \end{example}
1362 \end{minipage}
1363
1364 The \acro{CPU} function ties everything together. It applies the \hs{fu}
1365 function four times, to create a different function unit each time. The
1366 first application is interesting, because it does not just pass a
1367 function to \hs{fu}, but a partial application of \hs{multiop}. This
1368 shows how the first function unit effectively gets an extra input,
1369 compared to the others.
1370
1371 The vector \hs{inputs} is the set of data sources, which is passed to
1372 each function unit as a set of possible operants. The \acro{CPU} also receives 
1373 a vector of address pairs, which are used by each function unit to select 
1374 their operand. The application of the function units to the \hs{inputs} and
1375 \hs{addrs} arguments seems quite repetitive and could be rewritten to use
1376 a combination of the \hs{map} and \hs{zipwith} functions instead.
1377 However, the prototype compiler does not currently support working with lists of functions, so a more explicit version of the code is given instead.
1378
1379 \hspace{-1.7em}
1380 \begin{minipage}{0.93\linewidth}
1381 \begin{code}
1382 type CpuState = State [Word | 4]
1383
1384 cpu :: CpuState -> Word -> [(Index 6, Index 6) | 4] 
1385        -> Opcode -> (CpuState, Word)
1386 cpu (State s) input addrs opc = (State s', out)
1387   where
1388     s'    =   [ fu (multiop opc)  inputs (addrs!0)
1389               , fu add            inputs (addrs!1)
1390               , fu sub            inputs (addrs!2)
1391               , fu mul            inputs (addrs!3)
1392               ]
1393     inputs    =   0 +> (1 +> (input +> s))
1394     out       =   head s'
1395 \end{code}
1396 \end{minipage}
1397 \begin{minipage}{0.07\linewidth}
1398   \begin{example}
1399   \label{lst:code18}
1400   \end{example}
1401 \end{minipage}
1402
1403 This is still a simple example, but it could form the basis
1404 of an actual design, in which the same techniques can be reused.
1405
1406 \section{Related work}
1407 This section describes the features of existing (functional) hardware 
1408 description languages and highlights the advantages that this research has 
1409 over existing work.
1410
1411 Many functional hardware description languages have been developed over the 
1412 years. Early work includes such languages as $\mu$\acro{FP}~\cite{muFP}, an 
1413 extension of Backus' \acro{FP} language to synchronous streams, designed 
1414 particularly for describing and reasoning about regular circuits. The 
1415 Ruby~\cite{Ruby} language uses relations, instead of functions, to describe 
1416 circuits, and has a particular focus on layout. 
1417
1418 \begin{figure}
1419 \centerline{\includegraphics{highordcpu.svg}}
1420 \caption{CPU with higher-order Function Units}
1421 \label{img:highordcpu}
1422 \end{figure}
1423
1424 \acro{HML}~\cite{HML2} is a hardware modeling language based on the strict 
1425 functional language \acro{ML}, and has support for polymorphic types and 
1426 higher-order functions. Published work suggests that there is no direct 
1427 simulation support for \acro{HML}, but that a description in \acro{HML} has to 
1428 be translated to \VHDL\ and that the translated description can then be 
1429 simulated in a \VHDL\ simulator. Also not all of the mentioned language 
1430 features of \acro{HML} could be translated to hardware. The \CLaSH\ compiler 
1431 on the other hand can correctly translate all of the language constructs 
1432 mentioned in this paper to a netlist format.
1433
1434 Like the work presented in this paper, many functional hardware description languages have some sort of foundation in the functional programming language Haskell. Hawk~\cite{Hawk1} uses Haskell to describe system-level executable 
1435 specifications used to model the behavior of superscalar microprocessors. Hawk 
1436 specifications can be simulated; to the best knowledge of the authors there is however no support for automated circuit synthesis. 
1437
1438 The ForSyDe~\cite{ForSyDe2} system uses Haskell to specify abstract system 
1439 models, which can (manually) be transformed into an implementation model using 
1440 semantic preserving transformations. A designer can model systems using 
1441 heterogeneous models of computation, which include continuous time, 
1442 synchronous and untimed models of computation. Using so-called domain 
1443 interfaces a designer can simulate electronic systems which have both analog 
1444 as digital parts. ForSyDe has several backends including simulation and 
1445 automated synthesis, though automated synthesis is restricted to the 
1446 synchronous model of computation within ForSyDe. Unlike \CLaSH\ there is no 
1447 support for the automated synthesis of descriptions that contain polymorphism 
1448 or higher-order functions.
1449
1450 Lava~\cite{Lava} is a hardware description language that focuses on the 
1451 structural representation of hardware. Besides support for simulation and 
1452 circuit synthesis, Lava descriptions can be interfaced with formal method 
1453 tools for formal verification. Lava descriptions are actually circuit 
1454 generators when viewed from a synthesis viewpoint, in that the language 
1455 elements of Haskell, such as choice, can be used to guide the circuit 
1456 generation. If a developer wants to insert a choice element inside an actual 
1457 circuit he will have to explicitly instantiate a multiplexer-like component. 
1458 In this respect \CLaSH\ differs from Lava, in that all the choice elements, 
1459 such as case-statements and pattern matching, are synthesized to choice 
1460 elements in the eventual circuit. As such, richer control structures can both 
1461 be specified and synthesized in \CLaSH\ compared to any of the embedded 
1462 languages such as Hawk, ForSyDe and Lava.
1463
1464 The merits of polymorphic typing, combined with higher-order functions, are 
1465 now also recognized in the `main-stream' hardware description languages, 
1466 exemplified by the new \VHDL-2008 standard~\cite{VHDL2008}. \VHDL-2008 support 
1467 for generics has been extended to types and subprograms, allowing a developer 
1468 to describe components with polymorphic ports and function-valued arguments. 
1469 Note that the types and subprograms still require an explicit generic map, 
1470 whereas types can be automatically inferred, and function-values can be 
1471 automatically propagated by the \CLaSH\ compiler. There are also no (generally 
1472 available) \VHDL\ synthesis tools that currently support the \VHDL-2008 
1473 standard, and thus the synthesis of polymorphic types and function-valued 
1474 arguments.
1475
1476 % Wired~\cite{Wired},, T-Ruby~\cite{T-Ruby}, Hydra~\cite{Hydra}. 
1477
1478 % A functional language designed specifically for hardware design is 
1479 % $re{\mathit{FL}}^{ect}$~\cite{reFLect}, which draws experience from earlier 
1480 % language called \acro{FL}~\cite{FL} to la
1481
1482 % An example of a floating figure using the graphicx package.
1483 % Note that \label must occur AFTER (or within) \caption.
1484 % For figures, \caption should occur after the \includegraphics.
1485 % Note that IEEEtran v1.7 and later has special internal code that
1486 % is designed to preserve the operation of \label within \caption
1487 % even when the captionsoff option is in effect. However, because
1488 % of issues like this, it may be the safest practice to put all your
1489 % \label just after \caption rather than within \caption{}.
1490 %
1491 % Reminder: the "draftcls" or "draftclsnofoot", not "draft", class
1492 % option should be used if it is desired that the figures are to be
1493 % displayed while in draft mode.
1494 %
1495 %\begin{figure}[!t]
1496 %\centering
1497 %\includegraphics[width=2.5in]{myfigure}
1498 % where an .eps filename suffix will be assumed under latex, 
1499 % and a .pdf suffix will be assumed for pdflatex; or what has been declared
1500 % via \DeclareGraphicsExtensions.
1501 %\caption{Simulation Results}
1502 %\label{fig_sim}
1503 %\end{figure}
1504
1505 % Note that IEEE typically puts floats only at the top, even when this
1506 % results in a large percentage of a column being occupied by floats.
1507
1508
1509 % An example of a double column floating figure using two subfigures.
1510 % (The subfig.sty package must be loaded for this to work.)
1511 % The subfigure \label commands are set within each subfloat command, the
1512 % \label for the overall figure must come after \caption.
1513 % \hfil must be used as a separator to get equal spacing.
1514 % The subfigure.sty package works much the same way, except \subfigure is
1515 % used instead of \subfloat.
1516 %
1517 %\begin{figure*}[!t]
1518 %\centerline{\subfloat[Case I]\includegraphics[width=2.5in]{subfigcase1}%
1519 %\label{fig_first_case}}
1520 %\hfil
1521 %\subfloat[Case II]{\includegraphics[width=2.5in]{subfigcase2}%
1522 %\label{fig_second_case}}}
1523 %\caption{Simulation results}
1524 %\label{fig_sim}
1525 %\end{figure*}
1526 %
1527 % Note that often IEEE papers with subfigures do not employ subfigure
1528 % captions (using the optional argument to \subfloat), but instead will
1529 % reference/describe all of them (a), (b), etc., within the main caption.
1530
1531
1532 % An example of a floating table. Note that, for IEEE style tables, the 
1533 % \caption command should come BEFORE the table. Table text will default to
1534 % \footnotesize as IEEE normally uses this smaller font for tables.
1535 % The \label must come after \caption as always.
1536 %
1537 %\begin{table}[!t]
1538 %% increase table row spacing, adjust to taste
1539 %\renewcommand{\arraystretch}{1.3}
1540 % if using array.sty, it might be a good idea to tweak the value of
1541 % \extrarowheight as needed to properly center the text within the cells
1542 %\caption{An Example of a Table}
1543 %\label{table_example}
1544 %\centering
1545 %% Some packages, such as MDW tools, offer better commands for making tables
1546 %% than the plain LaTeX2e tabular which is used here.
1547 %\begin{tabular}{|c||c|}
1548 %\hline
1549 %One & Two\\
1550 %\hline
1551 %Three & Four\\
1552 %\hline
1553 %\end{tabular}
1554 %\end{table}
1555
1556
1557 % Note that IEEE does not put floats in the very first column - or typically
1558 % anywhere on the first page for that matter. Also, in-text middle ("here")
1559 % positioning is not used. Most IEEE journals/conferences use top floats
1560 % exclusively. Note that, LaTeX2e, unlike IEEE journals/conferences, places
1561 % footnotes above bottom floats. This can be corrected via the \fnbelowfloat
1562 % command of the stfloats package.
1563
1564
1565
1566 \section{Conclusion}
1567 This research demonstrates once more that functional languages are well suited 
1568 for hardware descriptions: function applications provide an elegant notation 
1569 for component instantiation. Where this research goes beyond the existing 
1570 (functional) hardware descriptions languages is the inclusion of various 
1571 choice elements, such as patter matching, that are well suited to describe the 
1572 conditional assignments in control-oriented hardware. Besides being able to 
1573 translate these basic constructs to synthesizable \VHDL, the prototype 
1574 compiler can also correctly translate descriptions that contain both 
1575 polymorphic types and function-valued arguments.
1576
1577 Where recent functional hardware description languages have mostly opted to 
1578 embed themselves in an existing functional language, this research features a 
1579 `true' compiler. As a result there is a clear distinction between compile-time 
1580 and run-time, which allows a myriad of choice constructs to be part of the 
1581 actual circuit description; a feature the embedded hardware description 
1582 languages do not offer.
1583
1584 \section{Future Work}
1585 The choice of describing state explicitly as extra arguments and results can 
1586 be seen as a mixed blessing. Even though the description that use state are 
1587 usually very clear, one finds that dealing with unpacking, passing, receiving 
1588 and repacking can become tedious and even error-prone, especially in the case 
1589 of sub-states. Removing this boilerplate, or finding a more suitable 
1590 abstraction mechanism would make \CLaSH\ easier to use.
1591
1592 The transformations in normalization phase of the prototype compiler were 
1593 developed in an ad-hoc manner, which makes the existence of many desirable 
1594 properties unclear. Such properties include whether the complete set of 
1595 transformations will always lead to a normal form or if the normalization 
1596 process always terminates. Though various use cases suggests that these 
1597 properties usually hold, they have not been formally proven. A systematic 
1598 approach to defining the set of transformations allows one to proof that the 
1599 earlier mentioned properties do indeed exist.
1600
1601 % conference papers do not normally have an appendix
1602
1603
1604 % use section* for acknowledgement
1605 % \section*{Acknowledgment}
1606
1607 % The authors would like to thank...
1608
1609 % trigger a \newpage just before the given reference
1610 % number - used to balance the columns on the last page
1611 % adjust value as needed - may need to be readjusted if
1612 % the document is modified later
1613 % \IEEEtriggeratref{14}
1614 % The "triggered" command can be changed if desired:
1615 %\IEEEtriggercmd{\enlargethispage{-5in}}
1616
1617 % references section
1618
1619 % can use a bibliography generated by BibTeX as a .bbl file
1620 % BibTeX documentation can be easily obtained at:
1621 % http://www.ctan.org/tex-archive/biblio/bibtex/contrib/doc/
1622 % The IEEEtran BibTeX style support page is at:
1623 % http://www.michaelshell.org/tex/ieeetran/bibtex/
1624 \bibliographystyle{IEEEtran}
1625 % argument is your BibTeX string definitions and bibliography database(s)
1626 \bibliography{clash}
1627 %
1628 % <OR> manually copy in the resultant .bbl file
1629 % set second argument of \begin to the number of references
1630 % (used to reserve space for the reference number labels box)
1631 % \begin{thebibliography}{1}
1632
1633 % \bibitem{IEEEhowto:kopka}
1634 % H.~Kopka and P.~W. Daly, \emph{A Guide to \LaTeX}, 3rd~ed.\hskip 1em plus
1635 %   0.5em minus 0.4em\relax Harlow, England: Addison-Wesley, 1999.
1636
1637 % \end{thebibliography}
1638
1639
1640
1641
1642 % that's all folks
1643 \end{document}
1644
1645 % vim: set ai sw=2 sts=2 expandtab: