Review the first few chapters.
authorMatthijs Kooijman <matthijs@stdin.nl>
Tue, 24 Nov 2009 19:52:48 +0000 (20:52 +0100)
committerMatthijs Kooijman <matthijs@stdin.nl>
Tue, 24 Nov 2009 19:52:48 +0000 (20:52 +0100)
This fixes a lot of small errors, improves some wordings, adds some todos
and rewrites all todos to use the fixme package.

Chapters/Context.tex
Chapters/Future.tex
Chapters/HardwareDescription.tex
Chapters/Introduction.tex
Chapters/Normalization.tex
Chapters/Prototype.tex
Outline

index 827f61f5506f5f9bfab3c61b863683ab8720f704..911d229c1f02613338a7294f79ce41d38a6c6be3 100644 (file)
@@ -1,41 +1,44 @@
 \chapter[chap:context]{Context}
-  An obvious question that arises when starting any research is \quote{Hasn't
-  this been done before?} Using a functional language for describing hardware
+  An obvious question that arises when starting any research is \quote{Has
+  this not been done before?} Using a functional language for describing hardware
   is not a new idea at all. In fact, there has been research into functional
   hardware description even before the conventional hardware description
-  languages were created. However, functional languages were not nearly as
-  advanced as they are now, and functional hardware description never really
-  got off. 
+  languages were created. \todo{Reference about early FHDLs} However,
+  functional languages were not nearly as advanced as they are now, and
+  functional hardware description never really got off. 
 
+\todo{Add references}
   Recently, there have been some renewed efforts, especially using the Haskell
-  language. Examples are Lava, ForSyde, ..., which are all a form of an
+  functional language. Examples are Lava, ForSyde, ..., which are all a form of an
   embedded domain specific language. Each of these have a slightly different
   approach, but all of these do some trickery inside the Haskell language
   itself, meaning you write a program that generates a hardware circuit,
   instead of describing the circuit directly (either by running the haskell
   code after compilation, or using Template Haskell to inspect parts of the
   code you have written). This allows the full power of Haskell for generating
-  a circuit, but only it also creates severe limitations in the use of the
+  a circuit. However it also creates severe limitations in the use of the
   language (you can't use case statements in Lava, since they would be
   executed only once during circuit generation) and extra notational overhead.
 
-TODO: Define (E)DSL
-TODO: References
+\fxnote{There should be a section on DSLs here}
+
+  We will now have a look at the existing hardware description languages,
+  both conventional and functional to see the fields in which Cλash is
+  operating.
 
   \section{Conventional hardware description languages}
-    Considering that we already have some hardware description language like
+    Considering that we already have some hardware description languages like
     \small{VHDL} and Verilog, why would we need anything else? By introducing
     the functional style to hardware description, we hope to obtain a hardware
     description language that is:
     \startitemize
-      \item More consise. Functional programs are known for their conciseness,
-      mostly caused by the ability to abstract just about any behaviour into a
-      helper function. This is largely enabled by features like an advanced
-      type system with polymorphism and higher order functions.
+      \item More consise. Functional programs are known for their conciseness
+      and ability to abstract away  common patterns.  This is largely enabled
+      by features like an advanced type system with polymorphism and higher
+      order functions.
+      \todo{Does this apply to FHDLs equally?}
       \item Type-safer. Functional programs typically have a highly expressive
-      type system, which makes it harder to write incorrect code. This is
-      probably not only directly caused by the type system, so perhaps this
-      advantage does not apply in hardware descriptions.
+      type system, which makes it harder to write incorrect code.
       \item Easy to process. Functional languages have nice properties like
       purity \refdef{purity} and single binding behaviour, which make it easy
       to apply program transformations and optimizations and could potentially
@@ -45,16 +48,18 @@ TODO: References
   \section{Existing functional hardware description languages}
     As noted above, we're not the first to walk this path. However, current
     embedded functional hardware description languages (in particular those
-    using Haskell) are limited by:
+    using Haskell) are limited by:\todo{Separate TH and EDSL approaches
+    better}
     \startitemize
       \item Not all of Haskell's constructs can be captured by embedded domain
       specific languages. For example, an if or case expression is typically
       executed only once and only its result is reflected in the embedded
-      description, not the if or case expression itself. Also, sharing and
-      loops are non-trivial do properly and safely translate (though there is
-      some work to fix this, but that has not been possible in a completely
-      reliable way yet.  TODO: ref
-      http://www.ittc.ku.edu/~andygill/paper.php?label=DSLExtract09).
+      description, not the if or case expression itself. Also, sharing of
+      variables (\eg, using the same variable twice while only calculating it
+      once) and cycles in circuits are non-trivial to properly and safely
+      translate (though there is some work to fix this, but that has not been
+      possible in a completely reliable way yet.  \todo{ref
+      http://www.ittc.ku.edu/~andygill/paper.php?label=DSLExtract09}
       \item Some things are verbose to express. Especially ForSyDe suffers
       from a lot of notational overhead due to the Template Haskell approach
       used. Since conditional statements are not supported, a lot of Haskell's
@@ -65,6 +70,10 @@ TODO: References
       of polymorphism and higher order functions at circuit generation time
       (even for free, without any additional cost on the \small{EDSL}
       programmers), but the described circuits do not have any polymorphism
-      or higher order functions, which can be limiting (TODO: How true or
-      appropriate is this point?).
+      or higher order functions, which can be limiting. \todo{How true or
+      appropriate is this point?}
+      \todo[left]{Function structure gets lost (in Lava)}
     \stopitemize
+
+    \todo[text]{Complete translation in TH is complex: Works with Haskell AST
+    instead of Core}
index d83f60087c939eb95175c1e9d240a675004d87d8..f61044dceb7f1f0091a083a0173ce750c4412f0b 100644 (file)
@@ -231,8 +231,8 @@ possible that improvements in the \small{GHC} typechecker will make this
 possible, though it will still stay a challenge. Further advances in
 dependent typing support for Haskell will probably help here as well.
 
-TODO: Reference Christiaan and other type-level work
-(http://personal.cis.strath.ac.uk/conor/pub/she/)
+\todo{Reference Christiaan and other type-level work
+(http://personal.cis.strath.ac.uk/conor/pub/she/)}
 \item For all recursion, there is the obvious challenge of deciding when
 recursion is finished. For list recursion, this might be easier (Since the
 base case of the recursion influences the type signatures). For general
@@ -241,7 +241,7 @@ transformations to prevent infinite expansion. The main challenge here is how
 to make this set complete, or at least define the constraints on possible
 recursion which guarantee it will work.
 
-TODO: Reference Christian for loop unrolling
+\todo{Reference Christian for loop unrolling?}
 \stopitemize
 
 \section{Multiple clock domains and asynchronicity}
@@ -292,7 +292,7 @@ func :: Word -> Event -> Word
 func inp _ = inp * 2 + 3
 \stophaskell
 
-TODO: Picture
+\todo{Picture}
 
 In this example, we see that every function takes an input of type
 \hs{Event}. The function \hs{main} that takes the output of
@@ -305,7 +305,7 @@ it, either because they are completely combinatoric (like in this example), or
 because they rely on the the caller to select the clock signal.
 
 This structure is similar to the event handling structure used to perform I/O
-in languages like Amanda. TODO: Ref. There is a top level case expression that
+in languages like Amanda. \todo{ref} There is a top level case expression that
 decides what to do depending on the current input event.
 
 A slightly more complex example that shows a system with two clock domains.
@@ -503,9 +503,9 @@ that such a datatype will never hold a constructor that is never used for a
 particular state variable. This separation is probably a non-trivial problem,
 though.
 
-TODO: Reference "Definitional interpreters for higher-order programming
+\todo{Reference "Definitional interpreters for higher-order programming
 languages":
-http://portal.acm.org/citation.cfm?id=805852\&dl=GUIDE\&coll=GUIDE\&CFID=58835435\&CFTOKEN=81856623
+http://portal.acm.org/citation.cfm?id=805852\&dl=GUIDE\&coll=GUIDE\&CFID=58835435\&CFTOKEN=81856623}
 
 \section{New language}
 During the development of the prototype, it has become clear that Haskell is
index 9e4061c9a4d059ee7fd8182c9d1e04565ecf94df..ef2533e3bf80f008601ae9b5a69a47cd22209ccd 100644 (file)
@@ -1,10 +1,13 @@
 \chapter[chap:description]{Hardware description}
   This chapter will provide an overview of the hardware description language
   that was created and the issues that have arisen in the process. It will
-  focus on the issues of the language, not the implementation.
+  focus on the issues of the language, not the implementation. The prototype
+  implementation will be discussed in \in{chapter}[chap:prototype].
 
-  When translating Haskell to hardware, we need to make choices in what kind
-  of hardware to generate for what Haskell constructs. When faced with
+  \todo{Shortshort introduction to Cλash (Bit, Word, and, not, etc.)}
+
+  When translating Haskell to hardware, we need to make choices about what kind
+  of hardware to generate for which Haskell constructs. When faced with
   choices, we've tried to stick with the most obvious choice wherever
   possible. In a lot of cases, when you look at a hardware description it is
   comletely clear what hardware is described. We want our translator to
   element that needs translation, to provide a clear picture of what is
   supported and how.
 
-  \section{Function application}
+  \section[sec:description:application]{Function application}
+  \todo{Sidenote: Inputs vs arguments}
   The basic syntactic element of a functional program are functions and
   function application. These have a single obvious \small{VHDL} translation: Each
   function becomes a hardware component, where each argument is an input port
-  and the result value is the output port.
+  and the result value is the (single) output port. This output port can have
+  a complex type (such as a tuple), so having just a single output port does
+  not pose a limitation.
 
   Each function application in turn becomes component instantiation. Here, the
   result of each argument expression is assigned to a signal, which is mapped
 
 \startbuffer[And3]
 -- | A simple function that returns 
---   the and of three bits
+--   conjunction of three bits
 and3 :: Bit -> Bit -> Bit -> Bit
 and3 a b c = and (and a b) c
 \stopbuffer
 
+\todo{Mirror this image vertically}
   \startuseMPgraphic{And3}
     save a, b, c, anda, andb, out;
 
@@ -68,20 +75,21 @@ and3 a b c = and (and a b) c
     ncline(andb)(out);
   \stopuseMPgraphic
 
-  \placeexample[here][ex:And3]{Simple three port and.}
+  \placeexample[here][ex:And3]{Simple three input and gate.}
     \startcombination[2*1]
       {\typebufferhs{And3}}{Haskell description using function applications.}
       {\boxedgraphic{And3}}{The architecture described by the Haskell description.}
     \stopcombination
 
-  TODO: Define top level function and subfunctions/circuits.
+  \note{This section should also mention hierarchy, top level functions and
+  subcircuits}
 
   \section{Choice}
-    Since describing components and connections allows us to describe a lot of
+    Although describing components and connections allows us to describe a lot of
     hardware designs already, there is an obvious thing missing: Choice. We
     need some way to be able to choose between values based on another value.
-    In Haskell, choice is achieved by case expressions, if expressions or
-    pattern matching (all of which can be reduced to case expressions).
+    In Haskell, choice is achieved by \hs{case} expressions, \hs{if} expressions or
+    pattern matching.
 
     An obvious way to add choice to our language without having to recognize
     any of Haskell's syntax, would be to add a primivite \quote{\hs{if}}
@@ -90,29 +98,39 @@ and3 a b c = and (and a b) c
     the condition is false.
 
     This \hs{if} function would then essentially describe a multiplexer and
-    allows us to describe any architecture that uses multiplexers. (TODO: Are
-    there other mechanisms of choice in hardware?)
+    allows us to describe any architecture that uses multiplexers. \fxnote{Are
+    there other mechanisms of choice in hardware?}
 
     However, to be able to describe our hardware in a more convenient way, we
-    also need to translate Haskell's choice mechanisms. The easiest of these
-    are of course case expressions (and if expressions, which can be very
-    directly translated to case expressions). A case expression can in turn
+    also want to translate Haskell's choice mechanisms. The easiest of these
+    are of course case expressions (and \hs{if} expressions, which can be very
+    directly translated to \hs{case} expressions). A \hs{case} expression can in turn
     simply be translated to a conditional assignment, where the conditions use
-    equality comparisons against the constructors in the case expressions.
+    equality comparisons against the constructors in the \hs{case} expressions.
+
+    \todo{Assignment vs multiplexers}
 
-    In \in{example}[ex:CaseInv] a simple case statement is shown,
-    scrutinizing a boolean value. If we would translate a Boolean to a bit
-    value, we could of course remove the comparator and directly feed 'in'
-    into the multiplex (or even use an inverter instead of a multiplexer).
-    However, we will try to make a general translation, which works for all
-    possible case statements. Optimizations such as these are left for the
-    \VHDL synthesizer, which handles them very well.
+    In \in{example}[ex:CaseInv] a simple \hs{case} expression is shown,
+    scrutinizing a boolean value. The corresponding architecture has a
+    comparator to determine which of the constructors is on the \hs{in}
+    input. There is a multiplexer to select the output signal. The two options
+    for the output signals are just constants, but these could have been more
+    complex expressions (in which case also both of them would be working in
+    parallel, regardless of which output would be chosen eventually).
+    
+    If we would translate a Boolean to a bit value, we could of course remove
+    the comparator and directly feed 'in' into the multiplex (or even use an
+    inverter instead of a multiplexer).  However, we will try to make a
+    general translation, which works for all possible \hs{case} expressions.
+    Optimizations such as these are left for the \VHDL synthesizer, which
+    handles them very well.
+
+    \todo{Be more explicit about >2 alternatives}
 
     \startbuffer[CaseInv]
     inv :: Bool -> Bool
-    inv in = case in of
-      True -> False
-      False -> True
+    inv True  = False
+    inv False = True
     \stopbuffer
 
     \startuseMPgraphic{CaseInv}
@@ -155,8 +173,8 @@ and3 a b c = and (and a b) c
         {\boxedgraphic{CaseInv}}{The architecture described by the Haskell description.}
       \stopcombination
 
-    Slightly more complex (but very powerful) forms of choice are pattern
-    matches. A function can be defined in multiple clauses, where each clause
+    A slightly more complex (but very powerful) form of choice is pattern
+    matching. A function can be defined in multiple clauses, where each clause
     specifies a pattern. When the arguments match the pattern, the
     corresponding clause will be used.
 
@@ -166,19 +184,25 @@ and3 a b c = and (and a b) c
     inv False = True
     \stopbuffer
 
-    \placeexample[here][ex:PatternInv]{Simple inverter using pattern matching.}
+    \placeexample[here][ex:PatternInv]{Simple inverter using pattern matching.
+    Describes the same architecture as \in{example}[ex:CaseInv].}
         {\typebufferhs{CaseInv}}
 
-    The architecture described in \in{example}[ex:PatternInv] is of course the
-    same one as the one in \in{example}[ex:CaseInv]. The general interpration
-    of pattern matching is also similar to that of case expressions: Generate
-    hardware for each of the clause (like each of the clauses of a case
+    The architecture described by \in{example}[ex:PatternInv] is of course the
+    same one as the one in \in{example}[ex:CaseInv]. The general interpretation
+    of pattern matching is also similar to that of \hs{case} expressions: Generate
+    hardware for each of the clauses (like each of the clauses of a \hs{case}
     expression) and connect them to the function output through (a number of
     nested) multiplexers. These multiplexers are driven by comparators and
     other logic, that check each pattern in turn.
 
   \section{Types}
-    Apart from giving structure to our hardware, we'll also need to provide
+    We've seen the two most basic functional concepts translated to hardware:
+    Function application and choice. Before we look further into less obvious
+    concepts like higher order expressions and polymorphism, we will have a
+    look at the types of the values we use in our descriptions.
+
+    When working with values in our descriptions, we'll also need to provide
     some way to translate the values used to hardware equivalents. In
     particular, this means having to come up with a hardware equivalent for
     every \emph{type} used in our program.
@@ -191,25 +215,36 @@ and3 a b c = and (and a b) c
     hand, will have their hardware type derived directly from their Haskell
     declaration automatically, according to the rules we sketch here.
 
+    \todo{Introduce Haskell type syntax (type constructors, type application,
+    :: operator?)}
+
     \subsection{Builtin types}
-      \startdesc{Bit}
+      The language currently supports the following builtin types. Of these,
+      only the \hs{Bool} type is supported by Haskell out of the box (the
+      others are defined by the Cλash package, so they are user-defined types
+      from Haskell's point of view).
+
+      \startdesc{\hs{Bit}}
         This is the most basic type available. It is mapped directly onto
         the \type{std_logic} \small{VHDL} type. Mapping this to the
         \type{bit} type might make more sense (since the Haskell version
         only has two values), but using \type{std_logic} is more standard
         (and allowed for some experimentation with don't care values)
+
+        \todo{Sidenote bit vs stdlogic}
       \stopdesc
-      \startdesc{Bool}
-        This is a builtin Haskell type, but is translated exactly like the
-        Bit type. Supporting the Bool type is particularly useful to support
-        \hs{if ... then ... else ...} expressions, which always have a
-        \hs{Bool} value for the condition.
+      \startdesc{\hs{Bool}}
+        This is the only builtin Haskell type supported and is translated
+        exactly like the Bit type (where a value of \hs{True} corresponds to a
+        value of \hs{High}). Supporting the Bool type is particularly
+        useful to support \hs{if ... then ... else ...} expressions, which
+        always have a \hs{Bool} value for the condition.
 
         A \hs{Bool} is translated to a \type{std_logic}, just like \hs{Bit}.
       \stopdesc
-      \startdesc{SizedWord, SizedInt}
-        These are types to represent integers. \hs{SizedWord} is unsigned,
-        while \hs{SizedInt} is signed. These types are parameterized by a
+      \startdesc{\hs{SizedWord}, \hs{SizedInt}}
+        These are types to represent integers. \hs{SizedWord} is unsigned,
+        while \hs{SizedInt} is signed. These types are parameterized by a
         length type, so you can define an unsigned word of 32 bits wide as
         follows:
         
@@ -217,39 +252,68 @@ and3 a b c = and (and a b) c
           type Word32 = SizedWord D32
         \stophaskell
 
-        Here, \hs{D32} is the \emph{type level representation} of the number
-        32. TODO: Introduce dependent types somewhere.
+        Here, a type synonym \hs{Word32} is defined that is equal to the
+        \hs{SizedWord} type constructor applied to the type \hs{D32}. \hs{D32}
+        is the \emph{type level representation} of the decimal number 32,
+        making the \hs{Word32} type a 32-bit unsigned word.
 
         These types are translated to the \small{VHDL} \type{unsigned} and
         \type{signed} respectively.
+        \todo{Sidenote on dependent typing?}
       \stopdesc
-      \startdesc{RangedWord}
+      \startdesc{\hs{Vector}}
+        This is a vector type, that can contain elements of any other type and
+        has a fixed length. It has two type parameters: Its
+        length and the type of the elements contained in it. By putting the
+        length parameter in the type, the length of a vector can be determined
+        at compile time, instead of only at runtime for conventional lists.
+
+        The \hs{Vector} type constructor takes two type arguments: The length
+        of the vector and the type of the elements contained in it. The state
+        type of an 8 element register bank would then for example be:
+
+        \starthaskell
+        type RegisterState = Vector D8 Word32
+        \stophaskell
+
+        Here, a type synonym \hs{RegisterState} is defined that is equal to
+        the \hs{Vector} type constructor applied to the types \hs{D8} (The type
+        level representation of the decimal number 8) and \hs{Word32} (The 32
+        bit word type as defined above). In other words, the
+        \hs{RegisterState} type is a vector of 8 32-bit words.
+
+        A fixed size vector is translated to a \small{VHDL} array type.
+      \stopdesc
+      \startdesc{\hs{RangedWord}}
         This is another type to describe integers, but unlike the previous
-        two it has no specific width, but an upper bound. This means that
+        two it has no specific bitwidth, but an upper bound. This means that
         its range is not limited to powers of two, but can be any number.
         A \hs{RangedWord} only has an upper bound, its lower bound is
         implicitly zero. There is a lot of added implementation complexity
         when adding a lower bound and having just an upper bound was enough
         for the primary purpose of this type: Typesafely indexing vectors.
 
-        This type is translated to the \type{unsigned} \small{VHDL} type.
-      \stopdesc
-      \startdesc{TFVec}
-        This is a vector type, with a fixed length. It has two type
-        parameters: Its length and the type of the elements contained in it.
+        To define an index for the 8 element vector above, we would do:
 
-        A fixed size vector is translated to a \small{VHDL} array type.
-       
-        The \hs{TF} in its name is a reference to the implementation used in
-        the prototype, which uses \emph{type families}.
+        \starthaskell
+        type Register = RangedWord D7
+        \stophaskell
+
+        Here, a type synonym \hs{RegisterIndex} is defined that is equal to
+        the \hs{RangedWord} type constructor applied to the type \hs{D7}. In
+        other words, this defines an unsigned word with values from 0 to 7
+        (inclusive). This word can be be used to index the 8 element vector
+        \hs{RegisterState} above.
+
+        This type is translated to the \type{unsigned} \small{VHDL} type.
       \stopdesc
+      \fxnote{There should be a reference to Christiaan's work here.}
 
-      TODO: Reference Christiaan / describe dependent typing
     \subsection{User-defined types}
       There are three ways to define new types in Haskell: Algebraic
       datatypes with the \hs{data} keyword, type synonyms with the \hs{type}
       keyword and type renamings with the \hs{newtype} keyword. This
-      expclitely excludes more advanced type creation from \GHC extensions
+      explicitly excludes more advanced type creation from \GHC extensions
       such as type families, existential typing, \small{GADT}s, etc.
 
       The first of these actually introduces a new type, for which we provide
@@ -263,16 +327,16 @@ and3 a b c = and (and a b) c
 
       \startdesc{Product types}
         A product type is an algebraic datatype with a single constructor with
-        two or more fields. This is essentially a way to pack a few values
-        together in a record-like structure. In fact, the builtin tuple types
-        are just product types (and are thus supported in exactly the same
-        way).
+        two or more fields, denoted in practice like (a,b), (a,b,c), etc. This
+        is essentially a way to pack a few values together in a record-like
+        structure. In fact, the builtin tuple types are just algebraic product
+        types (and are thus supported in exactly the same way).
 
         The "product" in its name refers to the collection of values belonging
-        to this type. The collection for a product type is the cartesic
+        to this type. The collection for a product type is the cartesian
         product of the collections for the types of its fields.
 
-        These types are translated to \VHDL record types, with one field for
+        These types are translated to \VHDL, record types, with one field for
         every field in the constructor. This translation applies to all single
         constructor algebraic datatypes, including those with no fields (unit
         types) and just one field (which are technically not a product).
@@ -296,9 +360,9 @@ and3 a b c = and (and a b) c
         for our purposes this distinction does not really make a difference,
         so we'll leave it out.
 
-        Sum types are currently not supported by the prototype, since its
-        translation is not so obvious as before. The can easily be emulated,
-        as we will see from an example:
+        Sum types are currently not supported by the prototype, since there is
+        no obvious \VHDL alternative. They can easily be emulated, however, as
+        we will see from an example:
 
         \starthaskell
         data Sum = A Bit Word | B Word 
@@ -315,8 +379,9 @@ and3 a b c = and (and a b) c
         type Sum = (SumC, Bit, Word, Word)
         \stophaskell
 
-        Here, the \hs{SumC} type effectively signals which of the fields of
-        the \hs{Sum} type are valid, all the other ones have no useful value.
+        Here, the \hs{SumC} type effectively signals which of the latter three
+        fields of the \hs{Sum} type are valid (the first two if \hs{A}, the
+        last one if \hs{B}), all the other ones have no useful value.
 
         An obvious problem with this naive approach is the space usage: The
         example above generates a fairly big \VHDL type. However, we can be
@@ -329,7 +394,7 @@ and3 a b c = and (and a b) c
         8 bits and a 8 bit unsiged word, these are different types and could
         not be shared. However, in the final hardware, both of these types
         would simply be 8 bit connections, so we have a 100\% size increase by
-        not sharing these!
+        not sharing these.
       \stopdesc
 
       Another interesting case is that of recursive types. In Haskell, an
@@ -338,29 +403,41 @@ and3 a b c = and (and a b) c
       probably the list type, which is defined is:
       
       \starthaskell
-      data List a = Empty | Cons a (List a)
+      data List t = Empty | Cons t (List t)
       \stophaskell
 
-      Where \hs{Empty} is usually written as \hs{[]} and \hs{Cons} as \hs{:}.
-      This immediately shows the problem with recursive types: What hardware
-      type to allocate here? There is no way to statically determine how long
-      the list will be
+      Note that \hs{Empty} is usually written as \hs{[]} and \hs{Cons} as
+      \hs{:}, but this would make the definition harder to read.  This
+      immediately shows the problem with recursive types: What hardware type
+      to allocate here? 
+      
+      If we would use the naive approach for sum types we described above, we
+      would create a record where the first field is an enumeration to
+      distinguish \hs{Empty} from \hs{Cons}. Furthermore, we would add two
+      more fields: One with the (\VHDL equivalent of) type \hs{t} (assuming we
+      actually know what type this is at compile time, this should not be a
+      problem) and a second one with type \hs{List t}.  The latter one is of
+      course a problem: This is exactly the type we were trying to translate
+      in the first place. 
       
-      In general, we can say we can never properly translated recursive types:
+      Our \VHDL type will thus become infinitely deep. In other words, there
+      is no way to statically determine how long (deep) the list will be
+      (it could even be infinite).
+      
+      In general, we can say we can never properly translate recursive types:
       All recursive types have a potentially infinite value (even though in
       practice they will have a bounded value, there is no way for the
-      compiler to determine an upper bound on its size.
+      compiler to determine an upper bound on its size).
 
   \subsection{Partial application}
-  It should be obvious that we cannot generate hardware signals for all
-  expressions we can express in Haskell. The most obvious criterium for this
-  is the type of an expression. We will see more of this below, but for now it
-  should be obvious that any expression of a function type cannot be
-  represented as a signal or i/o port to a component.
+  Now we've seen how to to translate application, choice and types, we will
+  get to a more complex concept: Partial applications. A \emph{partial
+  application} is any application whose (return) type is (again) a function
+  type.
 
-  From this, we can see that the above translation rules do not apply to a
-  partial application. \in{Example}[ex:Quadruple] shows an example use of
-  partial application and the corresponding architecture.
+  From this, we can see that the translation rules for full application do not
+  apply to a partial application. \in{Example}[ex:Quadruple] shows an example
+  use of partial application and the corresponding architecture.
 
 \startbuffer[Quadruple]
 -- | Multiply the input word by four.
@@ -405,19 +482,20 @@ quadruple n = mul (mul n)
     \stopcombination
 
   Here, the definition of mul is a partial function application: It applies
-  \hs{2 :: Word} to the function \hs{(*) :: Word -> Word -> Word} resulting in
-  the expression \hs{(*) 2 :: Word -> Word}. Since this resulting expression
-  is again a function, we can't generate hardware for it directly. This is
-  because the hardware to generate for \hs{mul} depends completely on where
-  and how it is used. In this example, it is even used twice!
+  the function \hs{(*) :: Word -> Word -> Word} to the value \hs{2 :: Word},
+  resulting in the expression \hs{(*) 2 :: Word -> Word}. Since this resulting
+  expression is again a function, we can't generate hardware for it directly.
+  This is because the hardware to generate for \hs{mul} depends completely on
+  where and how it is used. In this example, it is even used twice.
 
   However, it is clear that the above hardware description actually describes
   valid hardware. In general, we can see that any partial applied function
   must eventually become completely applied, at which point we can generate
-  hardware for it using the rules for function application above. It might
-  mean that a partial application is passed around quite a bit (even beyond
-  function boundaries), but eventually, the partial application will become
-  completely applied.
+  hardware for it using the rules for function application given in
+  \in{section}[sec:description:application]. It might mean that a partial
+  application is passed around quite a bit (even beyond function boundaries),
+  but eventually, the partial application will become completely applied.
+  \todo{Provide a step-by-step example of how this works}
 
   \section{Costless specialization}
     Each (complete) function application in our description generates a
@@ -425,7 +503,8 @@ quadruple n = mul (mul n)
     design. It is interesting to note that each application of a function
     generates a \emph{separate} piece of hardware. In the final design, none
     of the hardware is shared between applications, even when the applied
-    function is the same.
+    function is the same (of course, if a particular value, such as the result
+    of a function application, is used twice, it is not calculated twice).
 
     This is distinctly different from normal program compilation: Two separate
     calls to the same function share the same machine code. Having more
@@ -439,31 +518,37 @@ quadruple n = mul (mul n)
     synthesis, the amount of hardware generated is not affected.
 
     In particular, if we would duplicate all functions so that there is a
-    duplicate for every application in the program (\eg, each function is then
-    only applied exactly once), there would be no increase in hardware size
-    whatsoever.
+    separate function for every application in the program (\eg, each function
+    is then only applied exactly once), there would be no increase in hardware
+    size whatsoever.
+    
+    Because of this, a common optimization technique called
+    \emph{specialization} can be applied to hardware generation without any
+    performance or area cost (unlike for software). 
    
-   TODO: Perhaps these next two sections are a bit too
-   implementation-oriented?
+   \fxnote{Perhaps these next three sections are a bit too
+   implementation-oriented?}
 
     \subsection{Specialization}
-      Because of this, a common optimization technique called
-      \emph{specialization} is as good as free for hardware generation.  Given
-      some function that has a \emph{domain} of $D$ (\eg, the set of all
+      \defref{specialization}
+      Given some function that has a \emph{domain} $D$ (\eg, the set of all
       possible arguments that could be applied), we create a specialized
-      function with exactly the same behaviour, but with an domain of $D'
-      \subset D$. This subset can be derived in all sort of ways, but commonly
-      this is done by limiting a polymorphic argument to a single type (\eg,
-      removing polymorphism) or by limiting an argument to just a single value
-      (\eg, cross-function constant propagation, effectively removing the
-      argument). 
+      function with exactly the same behaviour, but with a domain $D' \subset
+      D$. This subset can be chosen in all sorts of ways. Any subset is valid
+      for the general definition of specialization, but in practice only some
+      of them provide useful optimization opportunities.
+
+      Common subsets include limiting a polymorphic argument to a single type
+      (\ie, removing polymorphism) or limiting an argument to just a single
+      value (\ie, cross-function constant propagation, effectively removing
+      the argument). 
       
       Since we limit the argument domain of the specialized function, its
       definition can often be optimized further (since now more types or even
-      values of arguments are already know). By replacing any application of
+      values of arguments are already known). By replacing any application of
       the function that falls within the reduced domain by an application of
       the specialized version, the code gets faster (but the code also gets
-      bigger, since we now have two versions instead of one!). If we apply
+      bigger, since we now have two versions instead of one). If we apply
       this technique often enough, we can often replace all applications of a
       function by specialized versions, allowing the original function to be
       removed (in some cases, this can even give a net reduction of the code
@@ -480,7 +565,7 @@ quadruple n = mul (mul n)
     What holds for partial application, can be easily generalized to any
     higher order expression. This includes partial applications, plain
     variables (e.g., a binder referring to a top level function), lambda
-    expressions and more complex expressions with a function type (a case
+    expressions and more complex expressions with a function type (a \hs{case}
     expression returning lambda's, for example).
 
     Each of these values cannot be directly represented in hardware (just like
@@ -492,15 +577,16 @@ quadruple n = mul (mul n)
     So any higher order value will be \quote{pushed down} towards its
     application just like partial applications. Whenever a function boundary
     needs to be crossed, the called function can be specialized.
-  
-    TODO: This is section should be improved
+    
+    \fxnote{This section needs improvement and an example}
 
   \section{Polymorphism}
-    In Haskell, values can be polymorphic: They can have multiple types. For
+    In Haskell, values can be \emph{polymorphic}: They can have multiple types. For
     example, the function \hs{fst :: (a, b) -> a} is an example of a
-    polymorphic function: It works for tuples with any element types. Haskell
+    polymorphic function: It works for tuples with any two element types. Haskell
     typeclasses allow a function to work on a specific set of types, but the
-    general idea is the same.
+    general idea is the same. The opposite of this is a \emph{monomorphic}
+    value, which has a single, fixed, type.
 
 %    A type class is a collection of types for which some operations are
 %    defined. It is thus possible for a value to be polymorphic while having
@@ -510,18 +596,18 @@ quadruple n = mul (mul n)
 %    value of a type that is member of the \hs{Integral} type class 
 
     When generating hardware, polymorphism can't be easily translated. How
-    many wire will you lay down for a value that could have any type? When
+    many wires will you lay down for a value that could have any type? When
     type classes are involved, what hardware components will you lay down for
     a class method (whose behaviour depends on the type of its arguments)?
+    Note that the language currently does not allow user-defined typeclasses,
+    but does support partly some of the builtin typeclasses (like \hs{Num}).
 
     Fortunately, we can again use the principle of specialization: Since every
-    function application generates separate pieces of hardware, we can know
+    function application generates a separate piece of hardware, we can know
     the types of all arguments exactly. Provided that we don't use existential
     typing, all of the polymorphic types in a function must depend on the
     types of the arguments (In other words, the only way to introduce a type
-    variable is in a lambda abstraction). Our top level function must not have
-    a polymorphic type (otherwise we wouldn't know the hardware interface to
-    our top level function).
+    variable is in a lambda abstraction).
 
     If a function is monomorphic, all values inside it are monomorphic as
     well, so any function that is applied within the function can only be
@@ -529,41 +615,47 @@ quadruple n = mul (mul n)
     specialized to work just for these specific types, removing the
     polymorphism from the applied functions as well.
 
+    Our top level function must not have a polymorphic type (otherwise we
+    wouldn't know the hardware interface to our top level function).
+
     By induction, this means that all functions that are (indirectly) called
     by our top level function (meaning all functions that are translated in
     the final hardware) become monomorphic.
 
   \section{State}
     A very important concept in hardware designs is \emph{state}. In a
-    stateless (or, \emph{combinatoric}) design, every output is a directly and solely dependent on the
+    stateless (or, \emph{combinatoric}) design, every output is  directly and solely dependent on the
     inputs. In a stateful design, the outputs can depend on the history of
     inputs, or the \emph{state}. State is usually stored in \emph{registers},
     which retain their value during a clockcycle, and are typically updated at
     the start of every clockcycle. Since the updating of the state is tightly
     coupled (synchronized) to the clock signal, these state updates are often
-    called \emph{synchronous}.
+    called \emph{synchronous} behaviour.
+
+    \todo{Sidenote? Registers can contain any (complex) type}
   
-    To make our hardware description language useful to describe more that
+    To make our hardware description language useful to describe more than
     simple combinatoric designs, we'll need to be able to describe state in
     some way.
 
     \subsection{Approaches to state}
       In Haskell, functions are always pure (except when using unsafe
-      functions like \hs{unsafePerformIO}, which should be prevented whenever
-      possible). This means that the output of a function solely depends on
-      its inputs. If you evaluate a given function with given inputs, it will
-      always provide the same output.
+      functions with the \hs{IO} monad, which is not supported by Cλash). This
+      means that the output of a function solely depends on its inputs. If you
+      evaluate a given function with given inputs, it will always provide the
+      same output.
 
-      TODO: Define pure
+      \todo{Define pure}
 
       This is a perfect match for a combinatoric circuit, where the output
-      also soley depend on the inputs. However, when state is involved, this
-      no longer holds. Since we're in charge of our own language, we could
-      remove this purity constraint and allow a function to return different
-      values depending on the cycle in which it is evaluated (or rather, the
-      current state). However, this means that all kinds of interesting
-      properties of our functional language get lost, and all kinds of
-      transformations and optimizations might no longer be meaning preserving.
+      also soley depends on the inputs. However, when state is involved, this
+      no longer holds. Since we're in charge of our own language (or at least
+      let's pretend we aren't using Haskell and we are), we could remove this
+      purity constraint and allow a function to return different values
+      depending on the cycle in which it is evaluated (or rather, the current
+      state). However, this means that all kinds of interesting properties of
+      our functional language get lost, and all kinds of transformations and
+      optimizations might no longer be meaning preserving.
 
       Provided that we want to keep the function pure, the current state has
       to be present in the function's arguments in some way. There seem to be
@@ -580,19 +672,19 @@ quadruple n = mul (mul n)
         An obvious downside of this solution is that on each cycle, all the
         previous cycles must be resimulated to obtain the current state. To do
         this, it might be needed to have a recursive helper function as well,
-        wich might be hard to properly analyze by the compiler.
+        wich might be hard to be properly analyzed by the compiler.
 
         A slight variation on this approach is one taken by some of the other
-        functional \small{HDL}s in the field (TODO: References to Lava,
-        ForSyDe, ...): Make functions operate on complete streams. This means
+        functional \small{HDL}s in the field: \todo{References to Lava,
+        ForSyDe, ...} Make functions operate on complete streams. This means
         that a function is no longer called on every cycle, but just once. It
         takes stream as inputs instead of values, where each stream contains
         all the values for every clockcycle since system start. This is easily
         modeled using an (infinite) list, with one element for each clock
-        cycle. Since the funciton is only evaluated once, its output is also a
-        stream. Note that, since we are working with infinite lists and still
-        want to be able to simulate the system cycle-by-cycle, this relies
-        heavily on the lazy semantics of Haskell.
+        cycle. Since the function is only evaluated once, its output must also
+        be a stream. Note that, since we are working with infinite lists and
+        still want to be able to simulate the system cycle-by-cycle, this
+        relies heavily on the lazy semantics of Haskell.
 
         Since our inputs and outputs are streams, all other (intermediate)
         values must be streams. All of our primitive operators (\eg, addition,
@@ -656,13 +748,13 @@ acc in = out
         This notation can be confusing (especially due to the loop in the
         definition of out), but is essentially easy to interpret. There is a
         single call to delay, resulting in a circuit with a single register,
-        whose input is connected to \hs{outl (which is the output of the
-        adder)}, and it's output is the \hs{delay out 0} (which is connected
-        to one of the adder inputs).
+        whose input is connected to \hs{out} (which is the output of the
+        adder), and it's output is the expression \hs{delay out 0} (which is
+        connected to one of the adder inputs).
 
         This notation has a number of downsides, amongst which are limited
-        readability and ambiguity in the interpretation. TODO: Reference
-        Christiaan.
+        readability and ambiguity in the interpretation. \note{Reference
+        Christiaan, who has done further investigation}
         
       \subsubsection{Explicit state arguments and results}
         A more explicit way to model state, is to simply add an extra argument
@@ -671,12 +763,15 @@ acc in = out
         function pure (letting the result depend only on the arguments), since
         the current state is now an argument.
 
-        In Haskell, this would look like \in{example}[ex:ExplicitAcc].
+        In Haskell, this would look like
+        \in{example}[ex:ExplicitAcc]\footnote[notfinalsyntax]{Note that this example is not in the final
+  Cλash syntax}. \todo{Referencing notfinalsyntax from Introduction.tex doesn't
+  work}
 
 \startbuffer[ExplicitAcc]
 -- input -> current state -> (new state, output)
 acc :: Word -> Word -> (Word, Word)
-acc in (State s) = (State s', out)
+acc in s = (s', out)
   where
     out = s + in
     s'  = out
@@ -693,7 +788,7 @@ acc in (State s) = (State s', out)
         variables are used by a function can be completely determined from its
         type signature (as opposed to the stream approach, where a function
         looks the same from the outside, regardless of what state variables it
-        uses (or wether it's stateful at all).
+        uses (or whether it's stateful at all).
 
         This approach is the one chosen for Cλash and will be examined more
         closely below.
@@ -704,48 +799,54 @@ acc in (State s) = (State s', out)
 
       \subsubsection{Substates}
         Since a function's state is reflected directly in its type signature,
-        if a function calls other stateful functions (\eg, has subcircuits) it
+        if a function calls other stateful functions (\eg, has subcircuits), it
         has to somehow know the current state for these called functions. The
         only way to do this, is to put these \emph{substates} inside the
         caller's state. This means that a function's state is the sum of the
         states of all functions it calls, and its own state.
 
         This also means that the type of a function (at least the "state"
-        part) is dependent on its implementation and the functions it calls.
+        part) is dependent on its own implementation and of the functions it
+        calls.
+
         This is the major downside of this approach: The separation between
         interface and implementation is limited. However, since Cλash is not
         very suitable for separate compilation (see
         \in{section}[sec:prototype:separate]) this is not a big problem in
-        practice. Additionally, when using a type synonym for the state type
+        practice.
+
+        Additionally, when using a type synonym for the state type
         of each function, we can still provide explicit type signatures
         while keeping the state specification for a function near its
         definition only.
+        \todo{Example}
     
-      \subsubsection{...}
+      \subsubsection{Which arguments and results are stateful?}
+        \fxnote{This section should get some examples}
         We need some way to know which arguments should become input ports and
         which argument(s?) should become the current state (\eg, be bound to
-        the register outputs). This does not hold holds not just for the top
-        level function, but also for any subfunctions. Or could we perhaps
+        the register outputs). This does not hold just for the top
+        level function, but also for any subfunction. Or could we perhaps
         deduce the statefulness of subfunctions by analyzing the flow of data
         in the calling functions?
 
-        To explore this matter, we make an interesting observation: We get
-        completely correct behaviour when we put all state registers in the
-        top level entity (or even outside of it). All of the state arguments
-        and results on subfunctions are treated as normal input and output
-        ports. Effectively, a stateful function results in a stateless
+        To explore this matter, the following observeration is interesting: We
+        get completely correct behaviour when we put all state registers in
+        the top level entity (or even outside of it). All of the state
+        arguments and results on subfunctions are treated as normal input and
+        output ports. Effectively, a stateful function results in a stateless
         hardware component that has one of its input ports connected to the
         output of a register and one of its output ports connected to the
         input of the same register.
 
-        TODO: Example?
+        \todo{Example}
 
         Of course, even though the hardware described like this has the
         correct behaviour, unless the layout tool does smart optimizations,
         there will be a lot of extra wire in the design (since registers will
         not be close to the component that uses them). Also, when working with
         the generated \small{VHDL} code, there will be a lot of extra ports
-        just to pass one state values, which can get quite confusing.
+        just to pass on state values, which can get quite confusing.
 
         To fix this, we can simply \quote{push} the registers down into the
         subcircuits. When we see a register that is connected directly to a
@@ -754,7 +855,7 @@ acc in (State s) = (State s', out)
         trivial when looking at the Haskell code instead of the resulting
         circuit, but the idea is still the same.
 
-        TODO: Example?
+        \todo{Example}
 
         However, when applying this technique, we might push registers down
         too far. When you intend to store a result of a stateless subfunction
@@ -764,6 +865,8 @@ acc in (State s) = (State s', out)
         the called function is in fact stateful. From this we can conclude
         that we have to either:
 
+        \todo{Example of wrong downpushing}
+
         \startitemize
         \item accept that the generated hardware might not be exactly what we
         intended, in some specific cases. In most cases, the hardware will be
@@ -777,8 +880,7 @@ acc in (State s) = (State s', out)
         end up is easier to implement correctly with explicit annotations, so
         for these reasons we will look at how this annotations could work.
 
-
-      TODO: Note about conditions on state variables and checking them.
+        \todo{Sidenote: One or more state arguments?}
 
     \subsection{Explicit state annotation}
       To make our stateful descriptions unambigious and easier to translate,
@@ -792,8 +894,8 @@ acc in (State s) = (State s', out)
         result is stateful. This means that the annotation lives
         \quote{outside} of the function, it is completely invisible when
         looking at the function body.
-        \item Use some kind of annotation on the type level, \eg give stateful
-        arguments and (part of) results a different type. This has the
+        \item Use some kind of annotation on the type level, \ie give stateful
+        arguments and stateful (parts of) results a different type. This has the
         potential to make this annotation visible inside the function as well,
         such that when looking at a value inside the function body you can
         tell if it's stateful by looking at its type. This could possibly make
@@ -805,11 +907,12 @@ acc in (State s) = (State s', out)
       implemented in Cλash. \in{Section}[sec:prototype:statetype] expands on
       the possible ways this could have been implemented.
 
-  TODO: Say something about dependent types and fixed size vectors
+  \todo{Note about conditions on state variables and checking them}
 
   \section[sec:recursion]{Recursion}
   An import concept in functional languages is recursion. In it's most basic
-  form, recursion is a function that is defined in terms of itself. This
+  form, recursion is a definition that is defined in terms of itself. A
+  recursive function is thus a function that uses itself in its body. This
   usually requires multiple evaluations of this function, with changing
   arguments, until eventually an evaluation of the function no longer requires
   itself.
@@ -832,12 +935,12 @@ acc in (State s) = (State s', out)
   
   However, most recursive hardware descriptions will describe finite
   recursion. This is because the recursive call is done conditionally. There
-  is usually a case statement where at least one alternative does not contain
+  is usually a \hs{case} expression where at least one alternative does not contain
   the recursive call, which we call the "base case". If, for each call to the
-  recursive function, we would be able to detect which alternative applies,
-  we would be able to remove the case expression and leave only the base case
-  when it applies. This will ensure that expanding the recursive functions
-  will terminate after a bounded number of expansions.
+  recursive function, we would be able to detect at compile time which
+  alternative applies, we would be able to remove the \hs{case} expression and
+  leave only the base case when it applies. This will ensure that expanding
+  the recursive functions will terminate after a bounded number of expansions.
 
   This does imply the extra requirement that the base case is detectable at
   compile time. In particular, this means that the decision between the base
@@ -848,8 +951,9 @@ acc in (State s) = (State s', out)
   passed in as an argument. Since we represent lists as vectors that encode
   the length in the vector type, it seems easy to determine the base case. We
   can simply look at the argument type for this. However, it turns out that
-  this is rather non-trivial to write down in Haskell in the first place. As
-  an example, we would like to write down something like this:
+  this is rather non-trivial to write down in Haskell already, not even
+  looking at translation. As an example, we would like to write down something
+  like this:
  
   \starthaskell
     sum :: Vector n Word -> Word
@@ -858,42 +962,49 @@ acc in (State s) = (State s', out)
       False -> head xs + sum (tail xs)
   \stophaskell
 
-  However, the typechecker will now use the following reasoning (element type
-  of the vector is left out):
+  However, the Haskell typechecker will now use the following reasoning (element
+  type of the vector is left out). Below, we write conditions on type
+  variables before the \hs{=>} operator. This is not completely valid Haskell
+  syntax, but serves to illustrate the typechecker reasoning. Also note that a
+  vector can never have a negative length, so \hs{Vector n} implicitly means
+  \hs{(n >= 0) => Vector n}.
 
+  \todo{This typechecker disregards the type signature}
   \startitemize
   \item tail has the type \hs{(n > 0) => Vector n -> Vector (n - 1)}
   \item This means that xs must have the type \hs{(n > 0) => Vector n}
   \item This means that sum must have the type \hs{(n > 0) => Vector n -> a}
   \item sum is called with the result of tail as an argument, which has the
-  type \hs{Vector n} (since \hs{(n > 0) => n - 1 == m}).
+  type \hs{Vector n} (since \hs{(n > 0) => Vector (n - 1)} is the same as \hs{(n >= 0)
+  => Vector n}, which is the same as just \hs{Vector n}).
   \item This means that sum must have the type \hs{Vector n -> a}
   \item This is a contradiction between the type deduced from the body of sum
   (the input vector must be non-empty) and the use of sum (the input vector
   could have any length).
   \stopitemize
 
-  As you can see, using a simple case at value level causes the type checker
-  to always typecheck both alternatives, which can't be done! This is a
-  fundamental problem, that would seem perfectly suited for a type class.
-  Considering that we need to switch between to implementations of the sum
-  function, based on the type of the argument, this sounds like the perfect
-  problem to solve with a type class. However, this approach has its own
-  problems (not the least of them that you need to define a new typeclass for
-  every recursive function you want to define).
+  As you can see, using a simple \hs{case} expression  at value level causes
+  the type checker to always typecheck both alternatives, which can't be done!
+  This is a fundamental problem, that would seem perfectly suited for a type
+  class.  Considering that we need to switch between to implementations of the
+  sum function, based on the type of the argument, this sounds like the
+  perfect problem to solve with a type class. However, this approach has its
+  own problems (not the least of them that you need to define a new typeclass
+  for every recursive function you want to define).
 
   Another approach tried involved using GADTs to be able to do pattern
   matching on empty / non empty lists. While this worked partially, it also
   created problems with more complex expressions.
 
-  TODO: How much detail should there be here? I can probably refer to
-  Christiaan instead.
+  \note{This should reference Christiaan}
 
   Evaluating all possible (and non-possible) ways to add recursion to our
   descriptions, it seems better to leave out list recursion alltogether. This
   allows us to focus on other interesting areas instead. By including
   (builtin) support for a number of higher order functions like map and fold,
   we can still express most of the things we would use list recursion for.
+  
+  \todo{Expand on this decision a bit}
  
   \subsection{General recursion}
   Of course there are other forms of recursion, that do not depend on the
@@ -901,10 +1012,10 @@ acc in (State s) = (State s', out)
   counter could be expressed, but only translated to hardware for a fixed
   number of iterations. Also, this would require extensive support for compile
   time simplification (constant propagation) and compile time evaluation
-  (evaluation constant comparisons), to ensure non-termination. Even then, it
+  (evaluation of constant comparisons), to ensure non-termination. Even then, it
   is hard to really guarantee termination, since the user (or GHC desugarer)
   might use some obscure notation that results in a corner case of the
   simplifier that is not caught and thus non-termination.
 
-  Due to these complications, we leave other forms of recursion as
-  future work as well.
+  Due to these complications and limited time available, we leave other forms
+  of recursion as future work as well.
index 595a07485b195b7052e74db5625a8804da9ec5cf..6080bc02d007860ad2b2084b0b70ba142acec784 100644 (file)
@@ -1,30 +1,33 @@
 \chapter[chap:introduction]{Introduction}
+\fixme{No chapter number?}
 This thesis describes the result and process of my work during my
 Master's assignment. In these pages, I will try to introduce the world
 of hardware descriptions, the world of functional languages and
-compilers, and present the compiler that will connect these worlds and
-sets a first step towards making hardware programming on the whole
-easier, more maintainable and generally more pleasant.
+compilers and introduce the hardware description language Cλash that will
+connect these worlds and puts a step towards making hardware programming
+on the whole easier, more maintainable and generally more pleasant.
 
 \section{Research goals}
   This research started out with the notion that a functional program is very
   easy to interpret as a hardware description. A functional program typically
   does no assumptions about evaluation order and does not have any side
-  effects. This fits hardware nicely, since the evaluation order is simply
-  everything in parallel.
+  effects. This fits hardware nicely, since the evaluation order for hardware
+  is simply everything in parallel.
 
-  As a motivating example, consider the following simple functional program:
+  As a motivating example, consider the following simple functional
+  program:\footnote[notfinalsyntax]{Note that this example is not in the final
+  Cλash syntax}
 
 \starttyping
-andword :: [Bit] -> [Bit]
-andword = map not
+notword :: [Bit] -> [Bit]
+notword = map not
 \stoptyping
 
-  This is a very natural way to describe a lot of parallel and ports, that
-  perform a bitwise and on a bitvector. The architecture described would look
+  This is a very natural way to describe a lot of parallel not ports, that
+  perform a bitwise not on a bitvector. The architecture described would look
   like the following:
 
-  \startMPcode
+  \startuseMPgraphic{AndWord}
     % Create objects
     save a, inp, out;
     newCircle.inp(btex $\overrightarrow{input}$ etex) "framed(false)";
@@ -56,17 +59,20 @@ andword = map not
     drawObj(out);
     % Draw a dotted line between the middle operations
     ncline(a2)(a3) "linestyle(dashed withdots)", "arrows(-)";
-  \stopMPcode
+  \stopuseMPgraphic
+  \useMPgraphic{AndWord}
+  \todo{Float graphic?}
 
-  Slightly more complicated is the following incremental summation of values:
+  Slightly more complicated is the following incremental summation of
+  values:\note[notfinalsyntax]
 
 \starttyping
 sum :: [Int] -> [Int]
 sum = sum' 0
 
-sum' :: [Int] -> Int -> [Int]
-sum' [] acc = []
-sum' (x:xs) acc = acc' : (sum' xs acc')
+sum' :: Int -> [Int] -> [Int]
+sum' acc [] = []
+sum' acc (x:xs) = acc' : (sum' acc' xs)
   where acc' = x + acc
 \stoptyping
 
@@ -75,7 +81,7 @@ sum' (x:xs) acc = acc' : (sum' xs acc')
   the recusion, another number from the input vector is added to the
   accumulator and each intermediate step returns its result.
 
-  So, this is a nice description of a bunch of sequential adders that produce
+  So, this is a nice description of a series of sequential adders that produce
   the incremental sums of a vector of numbers. For an input list of length 4,
   this is the corresponding architecture:
 
@@ -126,8 +132,8 @@ sum' (x:xs) acc = acc' : (sum' xs acc')
   \stopMPcode
 
   Or... is this the description of a single accumulating adder, that will add
-  one element of each input each clock cycle? In that case, we would have
-  described this architecture:
+  one element of each input each clock cycle and has a reset value of 0? In
+  that case, we would have described this architecture:
 
   \startMPcode
     save reg, inp, a, out;
@@ -160,16 +166,17 @@ sum' (x:xs) acc = acc' : (sum' xs acc')
   distinction in this research. In the first figure, the recursion in the code
   is taken as recursion in space and each recursion step results in a
   different piece of hardware, all of which are active simultaneously. In the
-  second figuer, the recursion in the code is taken as recursion in time and
+  second figure, the recursion in the code is taken as recursion in time and
   each recursion step is executed sequentially, \emph{on the same piece of
   hardware}.
 
-  In this research we explore how to apply these two interpretations two
+  In this research we explore how to apply these two interpretations to
   hardware descriptions. Additionally, we explore how other functional
   programming concepts can be applied to hardware descriptions to give use an
   efficient way to describe hardware.
 
   This leads us to the following research question:
+  \todo{Include Haskell in questions?}
 
   % Slightly bigger font, some extra spacing.
   \setupquotation[style=tfb,spacebefore=5mm]
@@ -189,9 +196,11 @@ sum' (x:xs) acc = acc' : (sum' xs acc')
   \startitemize
     \item How to interpret recursion in descriptions?
     \item How to interpret polymorphism?
-    \item How to interpret higher order in descriptions?
+    \item How to interpret higher order descriptions?
   \stopitemize
 
+  \todo{Introduce Cλash?}
+
   In addition to looking at designing a hardware description language, we
   will also implement a prototype to test drive our ideas. This prototype will
   translate hardware descriptions written in the Haskell functional language
@@ -201,6 +210,7 @@ sum' (x:xs) acc = acc' : (sum' xs acc')
 
 \section{Outline}
 
+\fxnote{This section needs updating}
 In the first chapter, we will sketch the context for this research.
 The current state and history of hardware description languages will be
 briefly discussed, as well as the state and history of functional
@@ -223,5 +233,5 @@ of the hardware description proved non-scalable. These transformations and
 their application are the subject of the fourth chapter.
 
 The final chapter sketches ideas for further research, which are many. Some of
-have seen some initial exploration and could provide a basis for future work
-in this area.
+them have seen some initial exploration and could provide a basis for future
+work in this area.
index f2d0fbba13be843158d19e4644604ccb6c07d307..427ab0a07e2dea01c68d704e9a31aa1b63fa17e0 100644 (file)
@@ -28,8 +28,8 @@
   core can describe expressions that do not have a direct hardware
   interpretation.
 
-  TODO: Describe core properties not supported in \small{VHDL}, and describe how the
-  \small{VHDL} we want to generate should look like.
+  \todo{Describe core properties not supported in \VHDL, and describe how the
+  \VHDL we want to generate should look like.}
 
   \section{Normal form}
     The transformations described here have a well-defined goal: To bring the
@@ -66,7 +66,7 @@
       on the \quote{top level}.
     \stopitemize
 
-    TODO: Intermezzo: functions vs plain values
+    \todo{Intermezzo: functions vs plain values}
 
     A very simple example of a program in normal form is given in
     \in{example}[ex:MulSum]. As you can see, all arguments to the function (which
       \italic{builtinarg} = \italic{coreexpr}
       \stoplambda
 
-      -- TODO: Limit builtinarg further
+      \todo{Limit builtinarg further}
 
-      -- TODO: There can still be other casts around (which the code can handle,
-      e.g., ignore), which still need to be documented here.
+      \todo{There can still be other casts around (which the code can handle,
+      e.g., ignore), which still need to be documented here}
 
-      -- TODO: Note about the selector case. It just supports Bit and Bool
-      currently, perhaps it should be generalized in the normal form?
+      \todo{Note about the selector case. It just supports Bit and Bool
+      currently, perhaps it should be generalized in the normal form? This is
+      no longer true, btw}
 
       When looking at such a program from a hardware perspective, the top level
       lambda's define the input ports. The value produced by the let expression is
       the result of each transformation.
 
       In particular, we define no particular order of transformations. Since
-      transformation order should not influence the resulting normal form (see TODO
-      ref), this leaves the implementation free to choose any application order that
-      results in an efficient implementation.
+      transformation order should not influence the resulting normal form,
+      \todo{This is not really true, but would like it to be...} this leaves
+      the implementation free to choose any application order that results in
+      an efficient implementation.
 
       When applying a single transformation, we try to apply it to every (sub)expression
       in a function, not just the top level function. This allows us to keep the
       In the following sections, we will be using a number of functions and
       notations, which we will define here.
 
-      TODO: Define substitution
+      \todo{Define substitution (notation)}
 
       \subsubsection{Other concepts}
         A \emph{global variable} is any variable that is bound at the
       a single function} must be unique. To achieve this, we apply the following
       technique.
 
-      TODO: Define fresh binders and unique supplies
+      \todo{Define fresh binders and unique supplies}
 
       \startitemize
       \item Before starting normalization, all binders in the function are made
       unique. This is done by generating a fresh binder for every binder used. This
       also replaces binders that did not pose any conflict, but it does ensure that
       all binders within the function are generated by the same unique supply. See
-      (TODO: ref fresh binder).
+      \refdef{fresh binder}
       \item Whenever a new binder must be generated, we generate a fresh binder that
       is guaranteed to be different from \emph{all binders generated so far}. This
       can thus never introduce duplication and will maintain the invariant.
         M
         \stoptrans
 
-        TODO: Example
+        \todo{Example}
 
       \subsubsection{Simple let binding removal}
         This transformation inlines simple let bindings (\eg a = b).
           M[b/ai]
         \stoptrans
 
-        TODO: Example
+        \todo{example}
 
       \subsubsection{Unused let binding removal}
         This transformation removes let bindings that are never used. Usually,
         This normalization pass should really be unneeded to get into normal form
         (since unused bindings are not forbidden by the normal form), but in practice
         the desugarer or simplifier emits some unused bindings that cannot be
-        normalized (e.g., calls to a \type{PatError} (TODO: Check this name)). Also,
+        normalized (e.g., calls to a \type{PatError} (\todo{Check this name}). Also,
         this transformation makes the resulting \small{VHDL} a lot shorter.
 
         \starttrans
           M
         \stoptrans
 
-        TODO: Example
+        \todo{Example}
 
       \subsubsection{Cast propagation / simplification}
         This transform pushes casts down into the expression as far as possible.
         Since its exact role and need is not clear yet, this transformation is
         not yet specified.
 
-        TODO: Cast propagation
+        \todo{Cast propagation}
 
       \subsubsection{Top level binding inlining}
         This transform takes simple top level bindings generated by the
               inlining.
       \stopitemize
 
-      TODO: Check the following itemization.
+      \todo{Check the following itemization.}
 
       When looking at the arguments of a builtin function, we can divide them
       into categories: 
         are of a runtime representable type. It ensures that they will all become
         references to global variables, or local signals in the resulting \small{VHDL}. 
 
-        TODO: It seems we can map an expression to a port, not only a signal.
+        \todo{It seems we can map an expression to a port, not only a signal.}
         Perhaps this makes this transformation not needed?
-        TODO: Say something about dataconstructors (without arguments, like True
+        \todo{Say something about dataconstructors (without arguments, like True
         or False), which are variable references of a runtime representable
-        type, but do not result in a signal.
+        type, but do not result in a signal.}
 
         To reduce a complex expression to a simple variable reference, we create
         a new let expression around the application, which binds the complex
         Note that \lam{x0} and {x1} will still need normalization after this.
 
       \subsubsection{Argument propagation}
-        TODO: Generalize this section into specialization, so other
-        transformations can refer to this (since specialization is really used
-        in multiple categories).
+        \fxnote{This section should be generalized and describe
+        specialization, so other transformations can refer to this (since
+        specialization is really used in multiple categories).}
 
         This transform deals with arguments to user-defined functions that are
         not representable at runtime. This means these arguments cannot be
 
         \stoptrans
 
-        TODO: Example
+        \todo{Example}
 
     \subsection{Case simplification}
       \subsubsection{Scrutinee simplification}
             Cn wn,0 ... wn,m -> xn
         \stoptrans
 
-        TODO: This transformation specified like this is complicated and misses
-        conditions to prevent looping with itself. Perhaps we should split it here for
-        discussion?
+        \fxnote{This transformation specified like this is complicated and misses
+        conditions to prevent looping with itself. Perhaps it should be split here for
+        discussion?}
 
         \startbuffer[from]
         case a of
     These transformations remove most higher order expressions from our
     program, making it completely first-order (the only exception here is for
     arguments to builtin functions, since we can't specialize builtin
-    function. TODO: Talk more about this somewhere).
+    function. \todo{Talk more about this somewhere}
 
     Reference higher-order-specialization (== argument propagation)
 
         literal \hs{SizedInt} in Haskell, like \hs{1 :: SizedInt D8}, this results in
         the following core: \lam{fromInteger (smallInteger 10)}, where for example
         \lam{10 :: GHC.Prim.Int\#} and \lam{smallInteger 10 :: Integer} have
-        non-representable types. TODO: This/these paragraph(s) should probably become a
-        separate discussion somewhere else.
+        non-representable types. \todo{This/these paragraph(s) should probably become a
+        separate discussion somewhere else}
 
 
         \starttrans
       transformation system consists of β-reduction and η-reduction (solid edges) or
       β-reduction and η-reduction (dotted edges).
 
-      TODO: Define β-reduction and η-reduction?
+      \todo{Define β-reduction and η-reduction?}
 
       Note that the normal form of such a system consists of the set of nodes
       (expressions) without outgoing edges, since those are the expression to which
index 8beba0feec3bc93300d5e648c6bf957da6f60313..d1862475688e1df1ad39876cd70b7c874862a805 100644 (file)
     this does not concern the \emph{implementation language} of the compiler,
     just the language \emph{translated by} the compiler).
 
-    On the highest level, we have two choices:
+    Initially, we have two choices:
 
     \startitemize
       \item Create a new functional language from scratch. This has the
       advantage of having a language that contains exactly those elements that
       are convenient for describing hardware and can contain special
-      constructs that might.
+      constructs that allows our hardware descriptions to be more powerful or
+      concise.
       \item Use an existing language and create a new backend for it. This has
       the advantage that existing tools can be reused, which will speed up
       development.
     \stopitemize
 
+    \todo{Sidenote: No EDSL}
+
     Considering that we required a prototype which should be working quickly,
     and that implementing parsers, semantic checkers and especially
-    typcheckers isn't exactly the core of this research (but it is lots and
+    typcheckers is not exactly the core of this research (but it is lots and
     lots of work!), using an existing language is the obvious choice. This
     also has the advantage that a large set of language features is available
     to experiment with and it is easy to find which features apply well and
     just the useful features (and possibly extra features that are specific to
     the domain of hardware description as well).
 
-    The second choice is to pick one of the many existing languages. As
-    mentioned before, this language is Haskell.  This choice has not been the
+    The second choice is which of the many existing languages to use. As
+    mentioned before, the chosen language is Haskell.  This choice has not been the
     result of a thorough comparison of languages, for the simple reason that
     the requirements on the language were completely unclear at the start of
-    this language. The fact that Haskell is a language with a broad spectrum
+    this research. The fact that Haskell is a language with a broad spectrum
     of features, that it is commonly used in research projects and that the
-    primary compiler, GHC, provides a high level API to its internals, made
+    primary compiler, \GHC, provides a high level API to its internals, made
     Haskell an obvious choice.
 
-    TODO: Was Haskell really a good choice? Perhaps say this somewhere else?
+    \note{There should be evaluation of the choice of Haskell and \VHDL}
 
   \section[sec:prototype:output]{Output format}
     The second important question is: What will be our output format? Since
     However, \small{EDIF} is not completely tool-independent. It specifies a
     meta-format, but the hardware components that can be used vary between
     various tool and hardware vendors, as well as the interpretation of the
-    \small{EDIF} standard (TODO Is this still true? Reference:
-    http://delivery.acm.org/10.1145/80000/74534/p803-li.pdf?key1=74534\&key2=8370537521\&coll=GUIDE\&dl=GUIDE\&CFID=61207158\&CFTOKEN=61908473).
+    \small{EDIF} standard. \todo{Is this still true? Reference:
+    http://delivery.acm.org/10.1145/80000/74534/p803-li.pdf?key1=74534\&key2=8370537521\&coll=GUIDE\&dl=GUIDE\&CFID=61207158\&CFTOKEN=61908473}
    
-    This means that when working with EDIF, our prototype would become
+    This means that when working with \small{EDIF}, our prototype would become
     technology dependent (\eg only work with \small{FPGA}s of a specific
     vendor, or even only with specific chips). This limits the applicability
     of our prototype. Also, the tools we'd like to use for verifying,
     simulating and draw pretty pictures of our output (like Precision, or
-    QuestaSim) work on \small{VHDL} or Verilog input (TODO: Is this really
-    true?).
+    QuestaSim) are designed for \small{VHDL} or Verilog input.
 
-    For these reasons, we will use \small{VHDL} as our output language.
-    Verilog is not used simply because we are familiar with \small{VHDL}
-    already. The differences between \small{VHDL} and Verilog are on the
-    higher level, while we will be using \small{VHDL} mainly to write low
-    level, netlist-like descriptions anyway.
+    For these reasons, we will not use \small{EDIF}, but \small{VHDL} as our
+    output language.  We choose \VHDL over Verilog simply because we are
+    familiar with \small{VHDL} already. The differences between \small{VHDL}
+    and Verilog are on the higher level, while we will be using \small{VHDL}
+    mainly to write low level, netlist-like descriptions anyway.
 
     An added advantage of using VHDL is that we can profit from existing
     optimizations in VHDL synthesizers. A lot of optimizations are done on the
     Note that we will be using \small{VHDL} as our output language, but will
     not use its full expressive power. Our output will be limited to using
     simple, structural descriptions, without any behavioural descriptions
-    (which might not be supported by all tools).
+    (which might not be supported by all tools). This ensures that any tool
+    that works with \VHDL will understand our output (most tools don't support
+    synthesis of more complex \VHDL). This also leaves open the option to
+    switch to \small{EDIF} in the future, with minimal changes to the
+    prototype.
 
   \section{Prototype design}
-    As stated above, we will use the Glasgow Haskell Compiler (\small{GHC}) to
+    As suggested above, we will use the Glasgow Haskell Compiler (\small{GHC}) to
     implement our prototype compiler. To understand the design of the
     compiler, we will first dive into the \small{GHC} compiler a bit. It's
     compilation consists of the following steps (slightly simplified):
       % Create objects
       save inp, front, desugar, simpl, back, out;
       newEmptyBox.inp(0,0);
-      newBox.front(btex Parser etex);
+      newBox.front(btex Fronted etex);
       newBox.desugar(btex Desugarer etex);
       newBox.simpl(btex Simplifier etex);
       newBox.back(btex Backend etex);
       \emph{Core} language. Core is a very small functional language with lazy
       semantics, that can still express everything Haskell can express. Its
       simpleness makes Core very suitable for further simplification and
-      translation. Core is the language we will be working on as well.
+      translation. Core is the language we will be working with as well.
     \stopdesc
     \startdesc{Simplification}
       Through a number of simplification steps (such as inlining, common
     representation as well.
 
     However, we will use the normal core representation, not the simplified
-    core. Reasons for this are detailed below.
+    core. Reasons for this are detailed below. \todo{Ref}
     
     The final prototype roughly consists of three steps:
     
-    \startuseMPgraphic{ghc-pipeline}
+    \startuseMPgraphic{clash-pipeline}
       % Create objects
       save inp, front, norm, vhdl, out;
       newEmptyBox.inp(0,0);
       % Draw the objects (and deferred labels)
       drawObj (inp, front, norm, vhdl, out);
     \stopuseMPgraphic
-    \placefigure[right]{GHC compiler pipeline}{\useMPgraphic{ghc-pipeline}}
+    \placefigure[right]{Cλash compiler pipeline}{\useMPgraphic{clash-pipeline}}
 
     \startdesc{Frontend}
       This is exactly the frontend and desugarer from the \small{GHC}
     \in{chapter}[chap:normalization].
     
   \section{The Core language}
+    \defreftxt{core}{the Core language}
     Most of the prototype deals with handling the program in the Core
     language. In this section we will show what this language looks like and
     how it works.
     Each Core expression consists of one of these possible expressions.
 
     \startdesc{Variable reference}
+      \defref{variable reference}
       \startlambda
-      a
+      x
       \stoplambda
-      This is a simple reference to a binder. It's written down as the
+      This is a reference to a binder. It's written down as the
       name of the binder that is being referred to, which should of course be
       bound in a containing scope (including top level scope, so a reference
       to a top level function is also a variable reference). Additionally,
     \stopdesc
 
     \startdesc{Literal}
+      \defref{literal}
       \startlambda
       10
       \stoplambda
-      This is a simple literal. Only primitive types are supported, like
+      This is a literal. Only primitive types are supported, like
       chars, strings, ints and doubles. The types of these literals are the
       \quote{primitive} versions, like \lam{Char\#} and \lam{Word\#}, not the
       normal Haskell versions (but there are builtin conversion functions).
     \stopdesc
 
     \startdesc{Application}
+      \defref{application}
       \startlambda
       func arg
       \stoplambda
-      This is simple function application. Each application consists of two
+      This is function application. Each application consists of two
       parts: The function part and the argument part. Applications are used
       for normal function \quote{calls}, but also for applying type
       abstractions and data constructors.
     \stopdesc
 
     \startdesc{Lambda abstraction}
+      \defref{lambda abstraction}
       \startlambda
       λbndr.body
       \stoplambda
     \stopdesc
 
     \startdesc{Non-recursive let expression}
+      \defref{let expression}
       \startlambda
       let bndr = value in body
       \stoplambda
       
       The main difference with the normal let expression is that each of the
       binders is in scope in each of the values, in addition to the body. This
-      allows for self-recursive definitions or mutually recursive definitions.
+      allows for self-recursive or mutually recursive definitions.
 
       It should also be possible to express a recursive let using normal
       lambda calculus, if we use the \emph{least fixed-point operator},
-      \lam{Y}.
+      \lam{Y}. This falls beyond the scope of this report, since it is not
+      needed for this research.
     \stopdesc
 
     \startdesc{Case expression}
+      \defref{case expression}
       \startlambda
-        case scrut of bndr
+        case scrutinee of bndr
           DEFAULT -> defaultbody
           C0 bndr0,0 ... bndr0,m -> body0
           \vdots
           Cn bndrn,0 ... bndrn,m -> bodyn
       \stoplambda
 
-      TODO: Define WHNF
+      \todo{Define WHNF}
 
-      A case expression is the only way in Core to choose between values. A case
-      expression evaluates its scrutinee, which should have an algebraic
-      datatype, into weak head normal form (\small{WHNF}) and (optionally) binds
-      it to \lam{bndr}. It then chooses a body depending on the constructor of
-      its scrutinee. If none of the constructors match, the \lam{DEFAULT}
-      alternative is chosen. 
+      A case expression is the only way in Core to choose between values. All
+      \hs{if} expressions and pattern matchings from the original Haskell
+      PRogram have been translated to case expressions by the desugarer. 
+      
+      A case expression evaluates its scrutinee, which should have an
+      algebraic datatype, into weak head normal form (\small{WHNF}) and
+      (optionally) binds it to \lam{bndr}. It then chooses a body depending on
+      the constructor of its scrutinee. If none of the constructors match, the
+      \lam{DEFAULT} alternative is chosen. A case expression must always be
+      exhaustive, \ie it must cover all possible constructors that the
+      scrutinee can have (if all of them are covered explicitly, the
+      \lam{DEFAULT} alternative can be left out).
       
       Since we can only match the top level constructor, there can be no overlap
       in the alternatives and thus order of alternatives is not relevant (though
       binders after the constructor and are in scope only in the corresponding
       body.
 
-      To support strictness, the scrutinee is always evaluated into WHNF, even
-      when there is only a \lam{DEFAULT} alternative. This allows a strict
-      function argument to be written like:
+      To support strictness, the scrutinee is always evaluated into
+      \small{WHNF}, even when there is only a \lam{DEFAULT} alternative. This
+      allows aplication of the strict function \lam{f} to the argument \lam{a}
+      to be written like:
 
       \startlambda
-      function (case argument of arg
-        DEFAULT -> arg)
+      f (case a of arg DEFAULT -> arg)
       \stoplambda
 
-      This seems to be the only use for the extra binder to which the scrutinee
-      is bound. When not using strictness annotations (which is rather pointless
-      in hardware descriptions), \small{GHC} seems to never generate any code
-      making use of this binder. The current prototype does not handle it
-      either, which probably means that code using it would break.
+      According to the \GHC documentation, this is the only use for the extra
+      binder to which the scrutinee is bound.  When not using strictness
+      annotations (which is rather pointless in hardware descriptions),
+      \small{GHC} seems to never generate any code making use of this binder.
+      In fact, \GHC has never been observed to generate code using this
+      binder, even when strictness was involved.  Nonetheless, the prototype
+      handles this binder as expected.
 
       Note that these case statements are less powerful than the full Haskell
       case statements. In particular, they do not support complex patterns like
-      in Haskell. Only the constructor of an expression can be matched, complex
-      patterns are implemented using multiple nested case expressions.
+      in Haskell. Only the constructor of an expression can be matched,
+      complex patterns are implemented using multiple nested case expressions.
 
       Case statements are also used for unpacking of algebraic datatypes, even
       when there is only a single constructor. For examples, to add the elements
     \stopdesc
 
     \startdesc{Cast expression}
+      \defref{cast expression}
       \startlambda
       body :: targettype
       \stoplambda
       
       In our hardware descriptions, we typically see casts to change between a
       Haskell newtype and its contained type, since those are effectively
-      different representations of the same type.
+      different types (so a cast is needed) with the same representation (but
+      no work is done by the cast).
 
       More complex are types that are proven to be equal by the typechecker,
       but look different at first glance. To ensure that, once the typechecker
       has proven equality, this information sticks around, explicit casts are
       added. In our notation we only write the target type, but in reality a
       cast expressions carries around a \emph{coercion}, which can be seen as a
-      proof of equality. TODO: Example
+      proof of equality. \todo{Example}
 
       The value of a cast is the value of its body, unchanged. The type of this
       value is equal to the target type, not the type of its body.
 
+      \todo{Move this paragraph}
       Note that this syntax is also used sometimes to indicate that a particular
       expression has a particular type, even when no cast expression is
       involved. This is then purely informational, since the only elements that
     \stopdesc
 
     \startdesc{Note}
-
       The Core language in \small{GHC} allows adding \emph{notes}, which serve
       as hints to the inliner or add custom (string) annotations to a core
       expression. These shouldn't be generated normally, so these are not
     \stopdesc
 
     \startdesc{Type}
+      \defref{type expression}
       \startlambda
       @type
       \stoplambda
-      It is possibly to use a Core type as a Core expression. This is done to
-      allow for type abstractions and applications to be handled as normal
-      lambda abstractions and applications above. This means that a type
-      expression in Core can only ever occur in the argument position of an
-      application, and only if the type of the function that is applied to
-      expects a type as the first argument. This happens for all polymorphic
-      functions, for example, the \lam{fst} function:
+      It is possibly to use a Core type as a Core expression. For the actual
+      types supported by Core, see \in{section}[sec:prototype:coretypes]. This
+      \quote{lifting} of a type into the value domain is done to allow for
+      type abstractions and applications to be handled as normal lambda
+      abstractions and applications above. This means that a type expression
+      in Core can only ever occur in the argument position of an application,
+      and only if the type of the function that is applied to expects a type
+      as the first argument. This happens for all polymorphic functions, for
+      example, the \lam{fst} function:
 
       \startlambda
       fst :: \forall a. \forall b. (a, b) -> a
       \lam{fst @Int @Int :: (Int, Int) -> Int}).
     \stopdesc
 
-    \subsection{Core type system}
+    \subsection[sec:prototype:coretypes]{Core type system}
       Whereas the expression syntax of Core is very simple, its type system is
       a bit more complicated. It turns out it is harder to \quote{desugar}
       Haskell's complex type system into something more simple. Most of the
 
       We will slightly limit our view on Core's type system, since the more
       complicated parts of it are only meant to support Haskell's (or rather,
-      \GHC's) type extensions, such as existential types, type families and
-      other non-standard Haskell stuff which we don't (plan to) support.
+      \GHC's) type extensions, such as existential types, \small{GADT}s, type
+      families and other non-standard Haskell stuff which we don't (plan to)
+      support.
 
       In Core, every expression is typed. The translation to Core happens
       after the typechecker, so types in Core are always correct as well
 
       \startdesc{A type variable}
         \startlambda
-        a
+        t
         \stoplambda
 
         This is a reference to a type defined elsewhere. This can either be a
-        polymorphic type (like \hs{a} in \hs{id :: a -> a}), or a type
-        constructor (like \hs{Bool} in \hs{null :: [a] -> Bool}).
+        polymorphic type (like the latter two \lam{t}'s in \lam{id :: \forall t.
+        t -> t}), or a type constructor (like \lam{Bool} in \lam{not :: Bool ->
+        Bool}). Like in Haskell, polymorphic type variables always
+        start with a lowercase letter, while type constructors always start
+        with an uppercase letter.
+
+        \todo{How to define (new) type constructors?}
 
         A special case of a type constructor is the \emph{function type
-        constructor}, \hs{->}. This is a type constructor taking two arguments
+        constructor}, \lam{->}. This is a type constructor taking two arguments
         (using application below). The function type constructor is commonly
-        written inline, so we write \hs{a -> b} when we really mean \hs{-> a
-        b}, the function type constructor applied to a and b.
+        written inline, so we write \lam{a -> b} when we really mean \lam{-> a
+        b}, the function type constructor applied to \lam{a} and \lam{b}.
 
         Polymorphic type variables can only be defined by a lambda
         abstraction, see the forall type below.
         This applies a some type to another type. This is particularly used to
         apply type variables (type constructors) to their arguments.
 
-        As mentioned above, some type applications have special notation. In
-        particular, these are applications of the \emph{function type
-        constructor} and \emph{tuple type constructors}:
-        \starthaskell
+        As mentioned above, applications of some type constructors have
+        special notation. In particular, these are applications of the
+        \emph{function type constructor} and \emph{tuple type constructors}:
+        \startlambda
           foo :: a -> b
           foo' :: -> a b
           bar :: (a, b, c)
           bar' :: (,,) a b c
-        \stophaskell
+        \stoplambda
       \stopdesc
 
       \startdesc{The forall type}
         \startlambda
-          id :: \forall a . a -> a
+          id :: \forall a. a -> a
         \stoplambda
         The forall type introduces polymorphism. It is the only way to
         introduce new type variables, which are completely unconstrained (Any
           id = λa.λx.x
         \stoplambda
 
-        here, type type of the binder \lam{x} is \lam{a}, referring to the
+        Here, the type of the binder \lam{x} is \lam{a}, referring to the
         binder in the topmost lambda.
 
         When using a value with a forall type, the actual type
         used must be applied first. For example haskell expression \hs{id
-        True} translates to the following Core:
+        True} (the function \hs{id} appleid to the dataconstructor \hs{True})
+        translates to the following Core:
 
         \startlambda
         id @Bool True
         \stoplambda
 
         Here, id is first applied to the type to work with. Note that the type
-        then changes from \lam{id :: \forall a . a -> a} to \lam{id @Bool ::
+        then changes from \lam{id :: \forall a. a -> a} to \lam{id @Bool ::
         Bool -> Bool}. Note that the type variable \lam{a} has been
         substituted with the actual type.
+
+        In Haskell, forall types are usually not explicitly specified (The use
+        of a lowercase type variable implicitly introduces a forall type for
+        that variable). In fact, in standard Haskell there is no way to
+        explicitly specify forall types. Through a language extension, the
+        \hs{forall} keyword is available, but still optional for normal forall
+        types (it is needed for \emph{existentially quantified types}, which
+        Cλash does not support).
       \stopdesc
 
       \startdesc{Predicate type}
         \startlambda
-          show :: \forall a. Show s => s -> String
+          show :: \forall a. Show s ⇒ s → String
         \stoplambda
        
-        TODO: Introduce type classes?
+        \todo{Sidenote: type classes?}
 
         A predicate type introduces a constraint on a type variable introduced
         by a forall type (or type lambda). In the example above, the type
         variable \lam{a} can only contain types that are an \emph{instance} of
-        the \emph{type class} \lam{Show}.
+        the \emph{type class} \lam{Show}. \refdef{type class}
 
         There are other sorts of predicate types, used for the type families
         extension, which we will not discuss here.
         instance declaration. This dictionary, as well as the binder
         introduced by a lambda that introduces a dictionary, have the
         predicate type as their type. These binders are usually named starting
-        with a \lam{\$}. Usually the name of the type concerned is not
+        with a \lam{$}. Usually the name of the type concerned is not
         reflected in the name of the dictionary, but the name of the type
         class is. The Haskell expression \hs{show True} thus becomes:
 
       \stopdesc
 
       Using this set of types, all types in basic Haskell can be represented.
+      
+      \todo{Overview of polymorphism with more examples (or move examples
+      here)}.
         
   \section[sec:prototype:statetype]{State annotations in Haskell}
-      TODO: This section should be reviewed and expanded.
+      \fxnote{This entire section on state annotations should be reviewed}
 
       Ideal: Type synonyms, since there is no additional code overhead for
       packing and unpacking. Downside: there is no explicit conversion in Core
       Implementation issues: state splitting, linking input to output state,
       checking usage constraints on state variables.
 
-      TODO: Implementation issues
-        TODO: \subsection[sec:prototype:separate]{Separate compilation}
-        TODO: Simplified core?
+      \todo{Implementation issues: Separate compilation, simplified core.}
diff --git a/Outline b/Outline
index fa4bb70a26974d39403bfe0e971a929b6b5f1116..c8be93dfdd6fa347b15ca5260b6ee4befb667c0d 100644 (file)
--- a/Outline
+++ b/Outline
@@ -49,3 +49,8 @@ TODO: Hardware description / model vs program
 TODO: State & pattern matches
 TODO: Separate compilation / Prelude
 TODO: Add case binder removal transformation
+TODO: Remove all "statement"s
+TODO: User-defined type classes (future work?)
+TODO: Entity / Architecture / Component vs Function?
+TODO: Expand on "representable"
+TODO: Register