Make register_bank work, with a bunch of changes.
-rw-r--r-- 9 .gitignore
-rw-r--r-- 3188 Adders.hs
-rw-r--r-- 1948 Alu.hs
-rw-r--r-- 1205 Bits.hs
-rw-r--r-- 16472 Flatten.hs
-rw-r--r-- 6486 FlattenTypes.hs
-rw-r--r-- 2562 HsValueMap.hs
-rw-r--r-- 304 Inverter.hs
-rw-r--r-- 1850 Parser.hs
-rw-r--r-- 4844 Pretty.hs
-rw-r--r-- 401 Shifter.hs
-rw-r--r-- 1507 Sim.hs
-rw-r--r-- 9555 Translator.hs
-rw-r--r-- 4043 TranslatorTypes.hs
-rw-r--r-- 11932 VHDL.hs
-rw-r--r-- 907 VHDLTypes.hs