Always rotate alternatives of case-statements. Original bug was caused by reverse...
[matthijs/master-project/cλash.git] / clash / CLasH / VHDL /
drwxr-xr-x   ..
-rw-r--r-- 8291 Constants.hs
-rw-r--r-- 95756 Generate.hs
-rw-r--r-- 7828 Testbench.hs
-rw-r--r-- 39696 VHDLTools.hs
-rw-r--r-- 744 VHDLTypes.hs