Add a simple four-bit shift register model.
authorMatthijs Kooijman <m.kooijman@student.utwente.nl>
Wed, 18 Feb 2009 19:27:20 +0000 (20:27 +0100)
committerMatthijs Kooijman <m.kooijman@student.utwente.nl>
Wed, 18 Feb 2009 19:27:20 +0000 (20:27 +0100)
This model is already translatable to VHDL.


No differences found