From ecb1b7d79982a225260129766fb3c97a62bd22e1 Mon Sep 17 00:00:00 2001 From: Matthijs Kooijman Date: Mon, 7 Dec 2009 14:17:24 +0100 Subject: [PATCH] Always use a lowercase letter after a colon. --- Chapters/Future.tex | 6 ++-- Chapters/HardwareDescription.tex | 58 ++++++++++++++++---------------- Chapters/Introduction.tex | 2 +- Chapters/Normalization.tex | 38 ++++++++++----------- Chapters/Prototype.tex | 10 +++--- 5 files changed, 57 insertions(+), 57 deletions(-) diff --git a/Chapters/Future.tex b/Chapters/Future.tex index da1b23d..d395586 100644 --- a/Chapters/Future.tex +++ b/Chapters/Future.tex @@ -123,7 +123,7 @@ these descriptions without any special magic (though perhaps it should always inline the binding operators to reveal the flow of values). This highlights an important aspect of using a functional language for our -descriptions: We can use the language itself to provide abstractions of common +descriptions: we can use the language itself to provide abstractions of common patterns, making our code smaller and easier to read. \subsection{Breaking out of the Monad} @@ -477,7 +477,7 @@ while still allowing simple functions without any extra overhead when complex behaviour is not needed. The main cost of this approach will probably be extra complexity in the -compiler: The paths (state) data can take become very non-trivial, and it +compiler: the paths (state) data can take become very non-trivial, and it is probably hard to properly analyze these paths and produce the intended \VHDL\ description. @@ -523,7 +523,7 @@ generates just a single element each cycle). Naively, this matching could be done using a (built-in) function with a signature like \lam{[a] -> a}, which also serves as an indicator to the compiler that some expanding over time is required. However, this poses a -problem for simulation: How will our Haskell implementation of this magical +problem for simulation: how will our Haskell implementation of this magical built-in function know which element of the input list to return. This obviously depends on the current cycle number, but there is no way for this function to know the current cycle without breaking all kinds of safety and diff --git a/Chapters/HardwareDescription.tex b/Chapters/HardwareDescription.tex index 0717a76..eab0a49 100644 --- a/Chapters/HardwareDescription.tex +++ b/Chapters/HardwareDescription.tex @@ -29,7 +29,7 @@ binder that is bound inside a function. In Haskell, there is no sharp distinction between a variable and a - function: A function is just a variable (binder) with a function + function: a function is just a variable (binder) with a function type. This means that a top level function is just any top level binder with a function type. @@ -58,7 +58,7 @@ \section[sec:description:application]{Function application} The basic syntactic elements of a functional program are functions and function application. These have a single obvious \small{VHDL} - translation: Each top level function becomes a hardware component, where each + translation: each top level function becomes a hardware component, where each argument is an input port and the result value is the (single) output port. This output port can have a complex type (such as a tuple), so having just a single output port does not pose a limitation. @@ -123,14 +123,14 @@ and3 a b c = and (and a b) c \section{Choice} Although describing components and connections allows us to describe a lot of - hardware designs already, there is an obvious thing missing: Choice. We + hardware designs already, there is an obvious thing missing: choice. We need some way to be able to choose between values based on another value. In Haskell, choice is achieved by \hs{case} expressions, \hs{if} expressions, pattern matching and guards. An obvious way to add choice to our language without having to recognize any of Haskell's syntax, would be to add a primivite \quote{\hs{if}} - function. This function would take three arguments: The condition, the + function. This function would take three arguments: the condition, the value to return when the condition is true and the value to return when the condition is false. @@ -244,7 +244,7 @@ and3 a b c = and (and a b) c The architecture described by \in{example}[ex:PatternInv] is of course the same one as the one in \in{example}[ex:CaseInv]. The general interpretation - of pattern matching is also similar to that of \hs{case} expressions: Generate + of pattern matching is also similar to that of \hs{case} expressions: generate hardware for each of the clauses (like each of the clauses of a \hs{case} expression) and connect them to the function output through (a number of nested) multiplexers. These multiplexers are driven by comparators and @@ -257,7 +257,7 @@ and3 a b c = and (and a b) c \section{Types} Translation of two most basic functional concepts has been - discussed: Function application and choice. Before looking further + discussed: function application and choice. Before looking further into less obvious concepts like higher-order expressions and polymorphism, the possible types that can be used in hardware descriptions will be discussed. @@ -323,12 +323,12 @@ and3 a b c = and (and a b) c \stopdesc \startdesc{\hs{Vector}} This is a vector type, that can contain elements of any other type and - has a fixed length. It has two type parameters: Its + has a fixed length. It has two type parameters: its length and the type of the elements contained in it. By putting the length parameter in the type, the length of a vector can be determined at compile time, instead of only at runtime for conventional lists. - The \hs{Vector} type constructor takes two type arguments: The length + The \hs{Vector} type constructor takes two type arguments: the length of the vector and the type of the elements contained in it. The state type of an 8 element register bank would then for example be: @@ -351,7 +351,7 @@ and3 a b c = and (and a b) c A \hs{RangedWord} only has an upper bound, its lower bound is implicitly zero. There is a lot of added implementation complexity when adding a lower bound and having just an upper bound was enough - for the primary purpose of this type: Typesafely indexing vectors. + for the primary purpose of this type: typesafely indexing vectors. To define an index for the 8 element vector above, we would do: @@ -372,7 +372,7 @@ and3 a b c = and (and a b) c in \cite[baaij09]. \subsection{User-defined types} - There are three ways to define new types in Haskell: Algebraic + There are three ways to define new types in Haskell: algebraic datatypes with the \hs{data} keyword, type synonyms with the \hs{type} keyword and type renamings with the \hs{newtype} keyword. \GHC\ offers a few more advanced ways to introduce types (type families, @@ -455,7 +455,7 @@ and3 a b c = and (and a b) c fields of the \hs{Sum} type are valid (the first two if \hs{A}, the last one if \hs{B}), all the other ones have no useful value. - An obvious problem with this naive approach is the space usage: The + An obvious problem with this naive approach is the space usage: the example above generates a fairly big \VHDL\ type. Since we can be sure that the two \hs{Word}s in the \hs{Sum} type will never be valid at the same time, this is a waste of space. @@ -470,7 +470,7 @@ and3 a b c = and (and a b) c \stopdesc Another interesting case is that of recursive types. In Haskell, an - algebraic datatype can be recursive: Any of its field types can be (or + algebraic datatype can be recursive: any of its field types can be (or contain) the type being defined. The most well-known recursive type is probably the list type, which is defined is: @@ -480,35 +480,35 @@ and3 a b c = and (and a b) c Note that \hs{Empty} is usually written as \hs{[]} and \hs{Cons} as \hs{:}, but this would make the definition harder to read. This - immediately shows the problem with recursive types: What hardware type + immediately shows the problem with recursive types: what hardware type to allocate here? If the naive approach for sum types described above would be used, a record would be created where the first field is an enumeration to distinguish \hs{Empty} from \hs{Cons}. Furthermore, two more - fields would be added: One with the (\VHDL\ equivalent of) type + fields would be added: one with the (\VHDL\ equivalent of) type \hs{t} (assuming this type is actually known at compile time, this should not be a problem) and a second one with type \hs{List t}. - The latter one is of course a problem: This is exactly the type + The latter one is of course a problem: this is exactly the type that was to be translated in the first place. The resulting \VHDL\ type will thus become infinitely deep. In other words, there is no way to statically determine how long (deep) the list will be (it could even be infinite). - In general, recursive types can never be properly translated: All + In general, recursive types can never be properly translated: all recursive types have a potentially infinite value (even though in practice they will have a bounded value, there is no way for the compiler to automatically determine an upper bound on its size). \subsection{Partial application} Now the translation of application, choice and types has been - discussed, a more complex concept can be considered: Partial + discussed, a more complex concept can be considered: partial applications. A \emph{partial application} is any application whose (return) type is (again) a function type. From this, it should be clear that the translation rules for full - application does not apply to a partial application: There are not + application does not apply to a partial application: there are not enough values for all the input ports in the resulting \VHDL. \in{Example}[ex:Quadruple] shows an example use of partial application and the corresponding architecture. @@ -555,7 +555,7 @@ quadruple n = mul (mul n) {\boxedgraphic{Quadruple}}{The architecture described by the Haskell description.} \stopcombination - Here, the definition of mul is a partial function application: It applies + Here, the definition of mul is a partial function application: it applies the function \hs{(*) :: Word -> Word -> Word} to the value \hs{2 :: Word}, resulting in the expression \hs{(*) 2 :: Word -> Word}. Since this resulting expression is again a function, hardware cannot be generated for it @@ -582,7 +582,7 @@ quadruple n = mul (mul n) function is the same (of course, if a particular value, such as the result of a function application, is used twice, it is not calculated twice). - This is distinctly different from normal program compilation: Two separate + This is distinctly different from normal program compilation: two separate calls to the same function share the same machine code. Having more machine code has implications for speed (due to less efficient caching) and memory usage. For normal compilation, it is therefore important to @@ -661,16 +661,16 @@ quadruple n = mul (mul n) \fxnote{This section needs improvement and an example} \section{Polymorphism} - In Haskell, values can be \emph{polymorphic}: They can have multiple types. For + In Haskell, values can be \emph{polymorphic}: they can have multiple types. For example, the function \hs{fst :: (a, b) -> a} is an example of a - polymorphic function: It works for tuples with any two element types. Haskell + polymorphic function: it works for tuples with any two element types. Haskell type classes allow a function to work on a specific set of types, but the general idea is the same. The opposite of this is a \emph{monomorphic} value, which has a single, fixed, type. % A type class is a collection of types for which some operations are % defined. It is thus possible for a value to be polymorphic while having -% any number of \emph{class constraints}: The value is not defined for +% any number of \emph{class constraints}: the value is not defined for % every type, but only for types in the type class. An example of this is % the \hs{even :: (Integral a) => a -> Bool} function, which can map any % value of a type that is member of the \hs{Integral} type class @@ -682,7 +682,7 @@ quadruple n = mul (mul n) Note that Cλash currently does not allow user-defined type classes, but does partly support some of the built-in type classes (like \hs{Num}). - Fortunately, we can again use the principle of specialization: Since every + Fortunately, we can again use the principle of specialization: since every function application generates a separate piece of hardware, we can know the types of all arguments exactly. Provided that existential typing (which is a \GHC\ extension) is not used typing, all of the @@ -766,7 +766,7 @@ quadruple n = mul (mul n) So our functions must remain pure, meaning the current state has to be present in the function's arguments in some way. There seem - to be two obvious ways to do this: Adding the current state as an + to be two obvious ways to do this: adding the current state as an argument, or including the full history of each argument. \subsubsection{Stream arguments and results} @@ -812,7 +812,7 @@ quadruple n = mul (mul n) stream so that we can "look into" the past. This \hs{delay} function simply outputs a stream where each value is the same as the input value, but shifted one cycle. This causes a \quote{gap} at the - beginning of the stream: What is the value of the delay output in the + beginning of the stream: what is the value of the delay output in the first cycle? For this, the \hs{delay} function has a second input, of which only a single value is used. @@ -925,7 +925,7 @@ acc in s = (s', out) part) is dependent on its own implementation and of the functions it calls. - This is the major downside of this approach: The separation between + This is the major downside of this approach: the separation between interface and implementation is limited. However, since Cλash is not very suitable for separate compilation (see \in{section}[sec:prototype:separate]) this is not a big problem in @@ -946,7 +946,7 @@ acc in s = (s', out) deduce the statefulness of subfunctions by analyzing the flow of data in the calling functions? - To explore this matter, the following observeration is interesting: We + To explore this matter, the following observeration is interesting: we get completely correct behaviour when we put all state registers in the top level entity (or even outside of it). All of the state arguments and results on subfunctions are treated as normal input and @@ -996,7 +996,7 @@ acc in s = (s', out) end up is easier to implement correctly with explicit annotations, so for these reasons we will look at how this annotations could work. - \todo{Sidenote: One or more state arguments?} + \todo{Sidenote: one or more state arguments?} \subsection[sec:description:stateann]{Explicit state annotation} To make our stateful descriptions unambigious and easier to translate, diff --git a/Chapters/Introduction.tex b/Chapters/Introduction.tex index 3b0a5c1..1b24ace 100644 --- a/Chapters/Introduction.tex +++ b/Chapters/Introduction.tex @@ -252,7 +252,7 @@ programming. Since we are not the first to have merged these approaches, a number of other functional hardware description languages are briefly described. -Chapter two describes the exploratory part of this research: How can we +Chapter two describes the exploratory part of this research: how can we describe hardware using a functional language and how can we use functional concepts for hardware descriptions? diff --git a/Chapters/Normalization.tex b/Chapters/Normalization.tex index d564d23..aa168e0 100644 --- a/Chapters/Normalization.tex +++ b/Chapters/Normalization.tex @@ -30,7 +30,7 @@ have a direct hardware interpretation. \section{Normal form} - The transformations described here have a well-defined goal: To bring the + The transformations described here have a well-defined goal: to bring the program in a well-defined form that is directly translatable to \VHDL, while fully preserving the semantics of the program. We refer to this form as the \emph{normal form} of the program. The formal @@ -322,7 +322,7 @@ EBNF-like description captures most of the intended structure (and generates a subset of \GHC's core format). - There are two things missing: Cast expressions are sometimes + There are two things missing: cast expressions are sometimes allowed by the prototype, but not specified here and the below definition allows uses of state that cannot be translated to \VHDL\ properly. These two problems are discussed in @@ -584,7 +584,7 @@ The type of this expression is \lam{Word}, so it does not match \lam{a -> b} and the transformation does not apply. Next, we have two options for the - next expression to look at: The function position and argument position of + next expression to look at: the function position and argument position of the application. The expression in the argument position is \lam{b}, which has type \lam{Word}, so the transformation does not apply. The expression in the function position is: @@ -597,7 +597,7 @@ function position (which makes the second condition false). In the same way the transformation does not apply to both components of this expression (\lam{case opcode of Low -> (+); High -> (-)} and \lam{a}), so - we will skip to the components of the case expression: The scrutinee and + we will skip to the components of the case expression: the scrutinee and both alternatives. Since the opcode is not a function, it does not apply here. @@ -672,7 +672,7 @@ A \emph{hardware representable} (or just \emph{representable}) type or value is (a value of) a type that we can generate a signal for in hardware. For example, a bit, a vector of bits, a 32 bit unsigned word, etc. Values that are - not runtime representable notably include (but are not limited to): Types, + not runtime representable notably include (but are not limited to): types, dictionaries, functions. \defref{representable} @@ -729,12 +729,12 @@ \stoplambda This is obviously not what was supposed to happen! The root of this problem is - the reuse of binders: Identical binders can be bound in different, + the reuse of binders: identical binders can be bound in different, but overlapping scopes. Any variable reference in those overlapping scopes then refers to the variable bound in the inner (smallest) scope. There is not way to refer to the variable in the outer scope. This effect is usually referred to as - \emph{shadowing}: When a binder is bound in a scope where the + \emph{shadowing}: when a binder is bound in a scope where the binder already had a value, the inner binding is said to \emph{shadow} the outer binding. In the example above, the \lam{c} binder was bound outside of the expression and in the inner lambda @@ -943,7 +943,7 @@ \transexample{unusedlet}{Unused let binding removal}{from}{to} \subsubsection{Empty let removal} - This transformation is simple: It removes recursive lets that have no bindings + This transformation is simple: it removes recursive lets that have no bindings (which usually occurs when unused let binding removal removes the last binding from it). @@ -1186,7 +1186,7 @@ This transformation makes all non-recursive lets recursive. In the end, we want a single recursive let in our normalized program, so all non-recursive lets can be converted. This also makes other - transformations simpler: They only need to be specified for recursive + transformations simpler: they only need to be specified for recursive let expressions (and simply will not apply to non-recursive let expressions until this transformation has been applied). @@ -1618,9 +1618,9 @@ values used in our expression representable. There are two main transformations that are applied to \emph{all} unrepresentable let bindings and function arguments. These are meant to address three - different kinds of unrepresentable values: Polymorphic values, + different kinds of unrepresentable values: polymorphic values, higher-order values and literals. The transformation are described - generically: They apply to all non-representable values. However, + generically: they apply to all non-representable values. However, non-representable values that do not fall into one of these three categories will be moved around by these transformations but are unlikely to completely disappear. They usually mean the program was not @@ -1649,7 +1649,7 @@ take care of exactly this. There is one case where polymorphism cannot be completely - removed: Built-in functions are still allowed to be polymorphic + removed: built-in functions are still allowed to be polymorphic (Since we have no function body that we could properly specialize). However, the code that generates \VHDL\ for built-in functions knows how to handle this, so this is not a problem. @@ -1873,7 +1873,7 @@ \hs{Bool} Haskell type, which is just an enumerated type. There is, however, a second type of literal that does not have a - representable type: Integer literals. Cλash supports using integer + representable type: integer literals. Cλash supports using integer literals for all three integer types supported (\hs{SizedWord}, \hs{SizedInt} and \hs{RangedWord}). This is implemented using Haskell's \hs{Num} type class, which offers a \hs{fromInteger} method @@ -2117,7 +2117,7 @@ in y + z \stoplambda - Looking at this, we could imagine an alternative approach: Create a + Looking at this, we could imagine an alternative approach: create a transformation that removes let bindings that bind identical values. In the above expression, the \lam{y} and \lam{z} variables could be merged together, resulting in the more efficient expression: @@ -2220,12 +2220,12 @@ expanding some expression. \item[q:soundness] Is our system \emph{sound}? Since our transformations continuously modify the expression, there is an obvious risk that the final - normal form will not be equivalent to the original program: Its meaning could + normal form will not be equivalent to the original program: its meaning could have changed. \item[q:completeness] Is our system \emph{complete}? Since we have a complex system of transformations, there is an obvious risk that some expressions will not end up in our intended normal form, because we forgot some transformation. - In other words: Does our transformation system result in our intended normal + In other words: does our transformation system result in our intended normal form for all possible inputs? \item[q:determinism] Is our system \emph{deterministic}? Since we have defined no particular order in which the transformation should be applied, there is an @@ -2233,7 +2233,7 @@ \emph{different} normal forms. They might still both be intended normal forms (if our system is \emph{complete}) and describe correct hardware (if our system is \emph{sound}), so this property is less important than the previous - three: The translator would still function properly without it. + three: the translator would still function properly without it. \stopitemize Unfortunately, the final transformation system has only been @@ -2422,7 +2422,7 @@ Since each of the transformations can be applied to any subexpression as well, there is a constraint on our meaning - definition: The meaning of an expression should depend only on the + definition: the meaning of an expression should depend only on the meaning of subexpressions, not on the expressions themselves. For example, the meaning of the application in \lam{f (let x = 4 in x)} should be the same as the meaning of the application in \lam{f @@ -2447,7 +2447,7 @@ Fortunately, we can also prove the complement (which is equivalent, since $A \subseteq B \Leftrightarrow \overline{B} - \subseteq \overline{A}$): Show that the set of nodes not in + \subseteq \overline{A}$): show that the set of nodes not in intended normal form is a subset of the set of nodes not in normal form. In other words, show that for every expression that is not in intended normal form, that there is at least one transformation diff --git a/Chapters/Prototype.tex b/Chapters/Prototype.tex index b391d4f..7d99104 100644 --- a/Chapters/Prototype.tex +++ b/Chapters/Prototype.tex @@ -73,7 +73,7 @@ Haskell an obvious choice. \section[sec:prototype:output]{Output format} - The second important question is: What will be our output format? + The second important question is: what will be our output format? This output format should at least allow for programming the hardware design into a field-programmable gate array (\small{FPGA}). The choice of output format is thus limited by what hardware @@ -379,7 +379,7 @@ func arg \stoplambda This is function application. Each application consists of two - parts: The function part and the argument part. Applications are used + parts: the function part and the argument part. Applications are used for normal function \quote{calls}, but also for applying type abstractions and data constructors. @@ -884,7 +884,7 @@ Word} and \hs{Word} types by pattern matching and by using the explicit the \hs{State constructor}. - This explicit conversion makes the \VHDL\ generation easier: Whenever we + This explicit conversion makes the \VHDL\ generation easier: whenever we remove (unpack) the \hs{State} type, this means we are accessing the current state (\eg, accessing the register output). Whenever we are a adding (packing) the \hs{State} type, we are producing a new value for @@ -1246,7 +1246,7 @@ function. Fortunately, the \lam{accs'} variable (and any other substate) has a - property that we can easily check: It has a \lam{State} type + property that we can easily check: it has a \lam{State} type annotation. This means that whenever \VHDL\ is generated for a tuple (or other algebraic type), we can simply leave out all elements that have a \lam{State} type. This will leave just the parts of the state @@ -1303,7 +1303,7 @@ \stoplambda When we would really leave out the crossed out parts, we get a slightly - weird program: There is a variable \lam{s} which has no value, and there + weird program: there is a variable \lam{s} which has no value, and there is a variable \lam{s'} that is never used. Together, these two will form the state process of the function. \lam{s} contains the "current" state, \lam{s'} is assigned the "next" state. So, at the end of each clock -- 2.30.2