X-Git-Url: https://git.stderr.nl/gitweb?p=matthijs%2Fmaster-project%2Freport.git;a=blobdiff_plain;f=Chapters%2FHardwareDescription.tex;h=479dd400640b5dfa38de5a2c929d41ef79f75a54;hp=d90a2e1b6d5e1d891b740225f4807c50d317845c;hb=d196e984e8b30373485e136ac763b669d21c4751;hpb=f07d21119a95b40eb7f4bed07356e3963007f3f3 diff --git a/Chapters/HardwareDescription.tex b/Chapters/HardwareDescription.tex index d90a2e1..479dd40 100644 --- a/Chapters/HardwareDescription.tex +++ b/Chapters/HardwareDescription.tex @@ -5,8 +5,6 @@ the implementation. The prototype implementation will be discussed in \in{chapter}[chap:prototype]. - \todo{Shortshort introduction to Cλash (Bit, Word, and, not, etc.)} - To translate Haskell to hardware, every Haskell construct needs a translation to \VHDL. There are often multiple valid translations possible. When faced with choices, the most obvious choice has been @@ -17,38 +15,33 @@ possible. \placeintermezzo{}{ - \defref{top level binder} - \defref{top level function} - \startframedtext[width=8cm,background=box,frame=no] + \defref{reading examples} + \startframedtext[width=9cm,background=box,frame=no] \startalignment[center] - {\tfa Top level binders and functions} + {\tfa Reading the examples} \stopalignment \blank[medium] - A top level binder is any binder (variable) that is declared in - the \quote{global} scope of a Haskell program (as opposed to a - binder that is bound inside a function. - - In Haskell, there is no sharp distinction between a variable and a - function: A function is just a variable (binder) with a function - type. This means that a top level function is just any top level - binder with a function type. - - As an example, consider the following Haskell snippet: - - \starthaskell - foo :: Int -> Int - foo x = inc x - where - inc = \y -> y + 1 - \stophaskell - - Here, \hs{foo} is a top level binder, whereas \hs{inc} is a - function (since it is bound to a lambda extraction, indicated by - the backslash) but is not a top level binder or function. Since - the type of \hs{foo} is a function type, namely \hs{Int -> Int}, - it is also a top level function. + In this thesis, a lot of functional code will be presented. Part of this + will be valid Cλash code, but others will just be small Haskell or Core + snippets to illustrate a concept. + + In these examples, some functions and types will be used, without + properly defining every one of them. These functions (like \hs{and}, + \hs{not}, \hs{add}, \hs{+}, etc.) and types (like \hs{Bit}, \hs{Word}, + \hs{Bool}, etc.) are usually pretty self-explanatory. + + The special type \hs{[t]} means \quote{list of \hs{t}'s}, where \hs{t} + can be any other type. + + Of particular note is the use of the \hs{::} operator. It is used in + Haskell to explicitly declare the type of function or let binding. In + these examples and the text, we will occasionally use this operator to + show the type of arbitrary expressions, even where this would not + normally be valid. Just reading the \hs{::} operator as \quote{and also + note that \emph{this} expression has \emph{this} type} should work out. \stopframedtext } + In this chapter we describe how to interpret a Haskell program from a hardware perspective. We provide a description of each Haskell language element that needs translation, to provide a clear picture of what is @@ -56,10 +49,9 @@ \section[sec:description:application]{Function application} - \todo{Sidenote: Inputs vs arguments} The basic syntactic elements of a functional program are functions and function application. These have a single obvious \small{VHDL} - translation: Each top level function becomes a hardware component, where each + translation: each top level function becomes a hardware component, where each argument is an input port and the result value is the (single) output port. This output port can have a complex type (such as a tuple), so having just a single output port does not pose a limitation. @@ -70,17 +62,17 @@ mapped to a signal, which is used as the result of the application. Since every top level function generates its own component, the - hierarchy of of function calls is reflected in the final \VHDL output - as well, creating a hierarchical \VHDL description of the hardware. - This separation in different components makes the resulting \VHDL + hierarchy of of function calls is reflected in the final \VHDL\ output + as well, creating a hierarchical \VHDL\ description of the hardware. + This separation in different components makes the resulting \VHDL\ output easier to read and debug. \in{Example}[ex:And3] shows a simple program using only function application and the corresponding architecture. \startbuffer[And3] --- | A simple function that returns --- conjunction of three bits +-- A simple function that returns +-- conjunction of three bits and3 :: Bit -> Bit -> Bit -> Bit and3 a b c = and (and a b) c \stopbuffer @@ -116,28 +108,83 @@ and3 a b c = and (and a b) c ncline(andb)(out); \stopuseMPgraphic - \placeexample[here][ex:And3]{Simple three input and gate.} + \startbuffer[And3VHDL] + entity and3Component_0 is + port (\azMyG2\ : in std_logic; + \bzMyI2\ : in std_logic; + \czMyK2\ : in std_logic; + \foozMySzMyS2\ : out std_logic; + clock : in std_logic; + resetn : in std_logic); + end entity and3Component_0; + + + architecture structural of and3Component_0 is + signal \argzMyMzMyM2\ : std_logic; + begin + \argzMyMzMyM2\ <= \azMyG2\ and \bzMyI2\; + + \foozMySzMyS2\ <= \argzMyMzMyM2\ and \czMyK2\; + end architecture structural; + \stopbuffer + + \placeexample[][ex:And3]{Simple three input and gate.} \startcombination[2*1] {\typebufferhs{And3}}{Haskell description using function applications.} {\boxedgraphic{And3}}{The architecture described by the Haskell description.} \stopcombination + \placeexample[][ex:And3VHDL]{\VHDL\ generated for \hs{and3} from \in{example}[ex:And3]} + {\typebuffervhdl{And3VHDL}} + + \placeintermezzo{}{ + \defref{top level binder} + \defref{top level function} + \startframedtext[width=8cm,background=box,frame=no] + \startalignment[center] + {\tfa Top level binders and functions} + \stopalignment + \blank[medium] + A top level binder is any binder (variable) that is declared in + the \quote{global} scope of a Haskell program (as opposed to a + binder that is bound inside a function. + + In Haskell, there is no sharp distinction between a variable and a + function: a function is just a variable (binder) with a function + type. This means that a top level function is just any top level + binder with a function type. + + As an example, consider the following Haskell snippet: + + \starthaskell + foo :: Int -> Int + foo x = inc x + where + inc = \y -> y + 1 + \stophaskell + + Here, \hs{foo} is a top level binder, whereas \hs{inc} is a + function (since it is bound to a lambda extraction, indicated by + the backslash) but is not a top level binder or function. Since + the type of \hs{foo} is a function type, namely \hs{Int -> Int}, + it is also a top level function. + \stopframedtext + } \section{Choice} Although describing components and connections allows us to describe a lot of - hardware designs already, there is an obvious thing missing: Choice. We + hardware designs already, there is an obvious thing missing: choice. We need some way to be able to choose between values based on another value. In Haskell, choice is achieved by \hs{case} expressions, \hs{if} expressions, pattern matching and guards. An obvious way to add choice to our language without having to recognize any of Haskell's syntax, would be to add a primivite \quote{\hs{if}} - function. This function would take three arguments: The condition, the + function. This function would take three arguments: the condition, the value to return when the condition is true and the value to return when the condition is false. This \hs{if} function would then essentially describe a multiplexer and - allows us to describe any architecture that uses multiplexers. \fxnote{Are - there other mechanisms of choice in hardware?} + allows us to describe any architecture that uses multiplexers. However, to be able to describe our hardware in a more convenient way, we also want to translate Haskell's choice mechanisms. The easiest of these @@ -146,8 +193,6 @@ and3 a b c = and (and a b) c simply be translated to a conditional assignment, where the conditions use equality comparisons against the constructors in the \hs{case} expressions. - \todo{Assignment vs multiplexers} - In \in{example}[ex:CaseInv] a simple \hs{case} expression is shown, scrutinizing a boolean value. The corresponding architecture has a comparator to determine which of the constructors is on the \hs{in} @@ -155,15 +200,51 @@ and3 a b c = and (and a b) c for the output signals are just constants, but these could have been more complex expressions (in which case also both of them would be working in parallel, regardless of which output would be chosen eventually). - + If we would translate a Boolean to a bit value, we could of course remove the comparator and directly feed 'in' into the multiplexer (or even use an inverter instead of a multiplexer). However, we will try to make a general translation, which works for all possible \hs{case} expressions. - Optimizations such as these are left for the \VHDL synthesizer, which + Optimizations such as these are left for the \VHDL\ synthesizer, which handles them very well. - \todo{Be more explicit about >2 alternatives} + \placeintermezzo{}{ + \startframedtext[width=8cm,background=box,frame=no] + \startalignment[center] + {\tfa Arguments / results vs. inputs / outputs} + \stopalignment + \blank[medium] + Due to the translation chosen for function application, there is a + very strong relation between arguments, results, inputs and outputs. + For clarity, the former two will always refer to the arguments and + results in the functional description (either Haskell or Core). The + latter two will refer to input and output ports in the generated + \VHDL. + + Even though these concepts seem to be nearly identical, when stateful + functions are introduces we will see arguments and results that will + not get translated into input and output ports, making this + distinction more important. + \stopframedtext + } + + A slightly more complex (but very powerful) form of choice is pattern + matching. A function can be defined in multiple clauses, where each clause + specifies a pattern. When the arguments match the pattern, the + corresponding clause will be used. + + The architecture described by \in{example}[ex:PatternInv] is of course the + same one as the one in \in{example}[ex:CaseInv]. The general interpretation + of pattern matching is also similar to that of \hs{case} expressions: generate + hardware for each of the clauses (like each of the clauses of a \hs{case} + expression) and connect them to the function output through (a number of + nested) multiplexers. These multiplexers are driven by comparators and + other logic, that check each pattern in turn. + + In these examples we have seen only binary case expressions and pattern + matches (\ie, with two alternatives). In practice, case expressions can + choose between more than two values, resulting in a number of nested + multiplexers. \startbuffer[CaseInv] inv :: Bool -> Bool @@ -205,17 +286,32 @@ and3 a b c = and (and a b) c ncline(trueout)(mux) "posB(inpb)"; ncline(mux)(out) "posA(out)"; \stopuseMPgraphic + + \startbuffer[CaseInvVHDL] + entity invComponent_0 is + port (\xzAMo2\ : in boolean; + \reszAMuzAMu2\ : out boolean; + clock : in std_logic; + resetn : in std_logic); + end entity invComponent_0; + + + architecture structural of invComponent_0 is + begin + \reszAMuzAMu2\ <= false when \xzAMo2\ = true else + true; + end architecture structural; + \stopbuffer - \placeexample[here][ex:CaseInv]{Simple inverter.} + \placeexample[][ex:CaseInv]{Simple inverter.} \startcombination[2*1] {\typebufferhs{CaseInv}}{Haskell description using a Case expression.} {\boxedgraphic{CaseInv}}{The architecture described by the Haskell description.} \stopcombination - A slightly more complex (but very powerful) form of choice is pattern - matching. A function can be defined in multiple clauses, where each clause - specifies a pattern. When the arguments match the pattern, the - corresponding clause will be used. + \placeexample[][ex:CaseInvVHDL]{\VHDL\ generated for \hs{inv} from + \in{example}[ex:CaseInv] and \in{example}[ex:PatternInv]} + {\typebuffervhdl{CaseInvVHDL}} \startbuffer[PatternInv] inv :: Bool -> Bool @@ -223,21 +319,13 @@ and3 a b c = and (and a b) c inv False = True \stopbuffer - \placeexample[here][ex:PatternInv]{Simple inverter using pattern matching. + \placeexample[][ex:PatternInv]{Simple inverter using pattern matching. Describes the same architecture as \in{example}[ex:CaseInv].} {\typebufferhs{PatternInv}} - The architecture described by \in{example}[ex:PatternInv] is of course the - same one as the one in \in{example}[ex:CaseInv]. The general interpretation - of pattern matching is also similar to that of \hs{case} expressions: Generate - hardware for each of the clauses (like each of the clauses of a \hs{case} - expression) and connect them to the function output through (a number of - nested) multiplexers. These multiplexers are driven by comparators and - other logic, that check each pattern in turn. - \section{Types} Translation of two most basic functional concepts has been - discussed: Function application and choice. Before looking further + discussed: function application and choice. Before looking further into less obvious concepts like higher-order expressions and polymorphism, the possible types that can be used in hardware descriptions will be discussed. @@ -303,12 +391,12 @@ and3 a b c = and (and a b) c \stopdesc \startdesc{\hs{Vector}} This is a vector type, that can contain elements of any other type and - has a fixed length. It has two type parameters: Its + has a fixed length. It has two type parameters: its length and the type of the elements contained in it. By putting the length parameter in the type, the length of a vector can be determined at compile time, instead of only at runtime for conventional lists. - The \hs{Vector} type constructor takes two type arguments: The length + The \hs{Vector} type constructor takes two type arguments: the length of the vector and the type of the elements contained in it. The state type of an 8 element register bank would then for example be: @@ -331,7 +419,7 @@ and3 a b c = and (and a b) c A \hs{RangedWord} only has an upper bound, its lower bound is implicitly zero. There is a lot of added implementation complexity when adding a lower bound and having just an upper bound was enough - for the primary purpose of this type: Typesafely indexing vectors. + for the primary purpose of this type: typesafely indexing vectors. To define an index for the 8 element vector above, we would do: @@ -342,7 +430,7 @@ and3 a b c = and (and a b) c Here, a type synonym \hs{RegisterIndex} is defined that is equal to the \hs{RangedWord} type constructor applied to the type \hs{D7}. In other words, this defines an unsigned word with values from - \lam{0} to \lam{7} (inclusive). This word can be be used to index the + {\definedfont[Serif*normalnum]0 to 7} (inclusive). This word can be be used to index the 8 element vector \hs{RegisterState} above. This type is translated to the \type{unsigned} \small{VHDL} type. @@ -352,19 +440,19 @@ and3 a b c = and (and a b) c in \cite[baaij09]. \subsection{User-defined types} - There are three ways to define new types in Haskell: Algebraic + There are three ways to define new types in Haskell: algebraic datatypes with the \hs{data} keyword, type synonyms with the \hs{type} - keyword and type renamings with the \hs{newtype} keyword. \GHC + keyword and type renamings with the \hs{newtype} keyword. \GHC\ offers a few more advanced ways to introduce types (type families, existential typing, \small{GADT}s, etc.) which are not standard Haskell. These will be left outside the scope of this research. Only an algebraic datatype declaration actually introduces a - completely new type, for which we provide the \VHDL translation + completely new type, for which we provide the \VHDL\ translation below. Type synonyms and renamings only define new names for existing types (where synonyms are completely interchangeable and renamings need explicit conversion). Therefore, these do not need - any particular \VHDL translation, a synonym or renamed type will + any particular \VHDL\ translation, a synonym or renamed type will just use the same representation as the original type. The distinction between a renaming and a synonym does no longer matter in hardware and can be disregarded in the generated \VHDL. @@ -382,7 +470,7 @@ and3 a b c = and (and a b) c to this type. The collection for a product type is the Cartesian product of the collections for the types of its fields. - These types are translated to \VHDL record types, with one field for + These types are translated to \VHDL\ record types, with one field for every field in the constructor. This translation applies to all single constructor algebraic datatypes, including those with just one field (which are technically not a product, but generate a VHDL @@ -397,7 +485,7 @@ and3 a b c = and (and a b) c Note that Haskell's \hs{Bool} type is also defined as an enumeration type, but we have a fixed translation for that. - These types are translated to \VHDL enumerations, with one value for + These types are translated to \VHDL\ enumerations, with one value for each constructor. This allows references to these constructors to be translated to the corresponding enumeration value. \stopdesc @@ -413,7 +501,7 @@ and3 a b c = and (and a b) c union of the the collections for each of the constructors. Sum types are currently not supported by the prototype, since there is - no obvious \VHDL alternative. They can easily be emulated, however, as + no obvious \VHDL\ alternative. They can easily be emulated, however, as we will see from an example: \starthaskell @@ -435,8 +523,8 @@ and3 a b c = and (and a b) c fields of the \hs{Sum} type are valid (the first two if \hs{A}, the last one if \hs{B}), all the other ones have no useful value. - An obvious problem with this naive approach is the space usage: The - example above generates a fairly big \VHDL type. Since we can be + An obvious problem with this naive approach is the space usage: the + example above generates a fairly big \VHDL\ type. Since we can be sure that the two \hs{Word}s in the \hs{Sum} type will never be valid at the same time, this is a waste of space. @@ -450,7 +538,7 @@ and3 a b c = and (and a b) c \stopdesc Another interesting case is that of recursive types. In Haskell, an - algebraic datatype can be recursive: Any of its field types can be (or + algebraic datatype can be recursive: any of its field types can be (or contain) the type being defined. The most well-known recursive type is probably the list type, which is defined is: @@ -460,41 +548,41 @@ and3 a b c = and (and a b) c Note that \hs{Empty} is usually written as \hs{[]} and \hs{Cons} as \hs{:}, but this would make the definition harder to read. This - immediately shows the problem with recursive types: What hardware type + immediately shows the problem with recursive types: what hardware type to allocate here? If the naive approach for sum types described above would be used, a record would be created where the first field is an enumeration to distinguish \hs{Empty} from \hs{Cons}. Furthermore, two more - fields would be added: One with the (\VHDL equivalent of) type + fields would be added: one with the (\VHDL\ equivalent of) type \hs{t} (assuming this type is actually known at compile time, this should not be a problem) and a second one with type \hs{List t}. - The latter one is of course a problem: This is exactly the type + The latter one is of course a problem: this is exactly the type that was to be translated in the first place. - The resulting \VHDL type will thus become infinitely deep. In + The resulting \VHDL\ type will thus become infinitely deep. In other words, there is no way to statically determine how long (deep) the list will be (it could even be infinite). - In general, recursive types can never be properly translated: All + In general, recursive types can never be properly translated: all recursive types have a potentially infinite value (even though in practice they will have a bounded value, there is no way for the compiler to automatically determine an upper bound on its size). \subsection{Partial application} Now the translation of application, choice and types has been - discussed, a more complex concept can be considered: Partial + discussed, a more complex concept can be considered: partial applications. A \emph{partial application} is any application whose (return) type is (again) a function type. From this, it should be clear that the translation rules for full - application does not apply to a partial application: There are not + application does not apply to a partial application: there are not enough values for all the input ports in the resulting \VHDL. \in{Example}[ex:Quadruple] shows an example use of partial application and the corresponding architecture. \startbuffer[Quadruple] --- | Multiply the input word by four. +-- Multiply the input word by four. quadruple :: Word -> Word quadruple n = mul (mul n) where @@ -529,13 +617,13 @@ quadruple n = mul (mul n) ncline(mulb)(out); \stopuseMPgraphic - \placeexample[here][ex:Quadruple]{Simple three port and.} + \placeexample[][ex:Quadruple]{Simple three port and.} \startcombination[2*1] {\typebufferhs{Quadruple}}{Haskell description using function applications.} {\boxedgraphic{Quadruple}}{The architecture described by the Haskell description.} \stopcombination - Here, the definition of mul is a partial function application: It applies + Here, the definition of mul is a partial function application: it applies the function \hs{(*) :: Word -> Word -> Word} to the value \hs{2 :: Word}, resulting in the expression \hs{(*) 2 :: Word -> Word}. Since this resulting expression is again a function, hardware cannot be generated for it @@ -562,7 +650,7 @@ quadruple n = mul (mul n) function is the same (of course, if a particular value, such as the result of a function application, is used twice, it is not calculated twice). - This is distinctly different from normal program compilation: Two separate + This is distinctly different from normal program compilation: two separate calls to the same function share the same machine code. Having more machine code has implications for speed (due to less efficient caching) and memory usage. For normal compilation, it is therefore important to @@ -641,16 +729,16 @@ quadruple n = mul (mul n) \fxnote{This section needs improvement and an example} \section{Polymorphism} - In Haskell, values can be \emph{polymorphic}: They can have multiple types. For + In Haskell, values can be \emph{polymorphic}: they can have multiple types. For example, the function \hs{fst :: (a, b) -> a} is an example of a - polymorphic function: It works for tuples with any two element types. Haskell + polymorphic function: it works for tuples with any two element types. Haskell type classes allow a function to work on a specific set of types, but the general idea is the same. The opposite of this is a \emph{monomorphic} value, which has a single, fixed, type. % A type class is a collection of types for which some operations are % defined. It is thus possible for a value to be polymorphic while having -% any number of \emph{class constraints}: The value is not defined for +% any number of \emph{class constraints}: the value is not defined for % every type, but only for types in the type class. An example of this is % the \hs{even :: (Integral a) => a -> Bool} function, which can map any % value of a type that is member of the \hs{Integral} type class @@ -662,10 +750,10 @@ quadruple n = mul (mul n) Note that Cλash currently does not allow user-defined type classes, but does partly support some of the built-in type classes (like \hs{Num}). - Fortunately, we can again use the principle of specialization: Since every + Fortunately, we can again use the principle of specialization: since every function application generates a separate piece of hardware, we can know the types of all arguments exactly. Provided that existential typing - (which is a \GHC extension) is not used typing, all of the + (which is a \GHC\ extension) is not used typing, all of the polymorphic types in a function must depend on the types of the arguments (In other words, the only way to introduce a type variable is in a lambda abstraction). @@ -746,7 +834,7 @@ quadruple n = mul (mul n) So our functions must remain pure, meaning the current state has to be present in the function's arguments in some way. There seem - to be two obvious ways to do this: Adding the current state as an + to be two obvious ways to do this: adding the current state as an argument, or including the full history of each argument. \subsubsection{Stream arguments and results} @@ -788,13 +876,13 @@ quadruple n = mul (mul n) Note that the concept of \emph{state} is no more than having some way to communicate a value from one cycle to the next. By introducing a - \hs{delay} function, we can do exactly that: Delay (each value in) a + \hs{delay} function, we can do exactly that: delay (each value in) a stream so that we can "look into" the past. This \hs{delay} function simply outputs a stream where each value is the same as the input value, but shifted one cycle. This causes a \quote{gap} at the - beginning of the stream: What is the value of the delay output in the - first cycle? For this, the \hs{delay} function has a second input - (which is a value, not a stream!). + beginning of the stream: what is the value of the delay output in the + first cycle? For this, the \hs{delay} function has a second input, of + which only a single value is used. \in{Example}[ex:DelayAcc] shows a simple accumulator expressed in this style. @@ -832,7 +920,7 @@ acc in = out \stopuseMPgraphic - \placeexample[here][ex:DelayAcc]{Simple accumulator architecture.} + \placeexample[][ex:DelayAcc]{Simple accumulator architecture.} \startcombination[2*1] {\typebufferhs{DelayAcc}}{Haskell description using streams.} {\boxedgraphic{DelayAcc}}{The architecture described by the Haskell description.} @@ -867,7 +955,7 @@ acc in s = (s', out) s' = out \stopbuffer - \placeexample[here][ex:ExplicitAcc]{Simple accumulator architecture.} + \placeexample[][ex:ExplicitAcc]{Simple accumulator architecture.} \startcombination[2*1] {\typebufferhs{ExplicitAcc}}{Haskell description using explicit state arguments.} % Picture is identical to the one we had just now. @@ -905,7 +993,7 @@ acc in s = (s', out) part) is dependent on its own implementation and of the functions it calls. - This is the major downside of this approach: The separation between + This is the major downside of this approach: the separation between interface and implementation is limited. However, since Cλash is not very suitable for separate compilation (see \in{section}[sec:prototype:separate]) this is not a big problem in @@ -926,7 +1014,7 @@ acc in s = (s', out) deduce the statefulness of subfunctions by analyzing the flow of data in the calling functions? - To explore this matter, the following observeration is interesting: We + To explore this matter, the following observeration is interesting: we get completely correct behaviour when we put all state registers in the top level entity (or even outside of it). All of the state arguments and results on subfunctions are treated as normal input and @@ -976,7 +1064,7 @@ acc in s = (s', out) end up is easier to implement correctly with explicit annotations, so for these reasons we will look at how this annotations could work. - \todo{Sidenote: One or more state arguments?} + \todo{Sidenote: one or more state arguments?} \subsection[sec:description:stateann]{Explicit state annotation} To make our stateful descriptions unambigious and easier to translate, @@ -990,7 +1078,7 @@ acc in s = (s', out) result is stateful. This means that the annotation lives \quote{outside} of the function, it is completely invisible when looking at the function body. - \item Use some kind of annotation on the type level, \ie give stateful + \item Use some kind of annotation on the type level, \ie\ give stateful arguments and stateful (parts of) results a different type. This has the potential to make this annotation visible inside the function as well, such that when looking at a value inside the function body you can @@ -1025,6 +1113,23 @@ acc in s = (s', out) cycle (at best, we could generate hardware that needs an infinite number of cycles to complete). + \placeintermezzo{}{ + \startframedtext[width=8cm,background=box,frame=no] + \startalignment[center] + {\tfa \hs{null}, \hs{head} and \hs{tail}} + \stopalignment + \blank[medium] + The functions \hs{null}, \hs{head} and \hs{tail} are common list + functions in Haskell. The \hs{null} function simply checks if a list is + empty. The \hs{head} function returns the first element of a list. The + \hs{tail} function returns containing everything \emph{except} the first + element of a list. + + In Cλash, there are vector versions of these functions, which do exactly + the same. + \stopframedtext + } + However, most recursive definitions will describe finite recursion. This is because the recursive call is done conditionally. There is usually a \hs{case} expression where at least one alternative does not contain @@ -1067,6 +1172,8 @@ acc in s = (s', out) \item tail has the type \hs{(n > 0) => Vector n -> Vector (n - 1)} \item This means that xs must have the type \hs{(n > 0) => Vector n} \item This means that sum must have the type \hs{(n > 0) => Vector n -> a} + (The type \hs{a} is can be anything at this stage, we will not try to finds + its actual type in this example). \item sum is called with the result of tail as an argument, which has the type \hs{Vector n} (since \hs{(n > 0) => Vector (n - 1)} is the same as \hs{(n >= 0) => Vector n}, which is the same as just \hs{Vector n}). @@ -1101,7 +1208,7 @@ acc in s = (s', out) (evaluation of constant comparisons), to ensure non-termination. Supporting general recursion will probably require strict conditions on the input descriptions. Even then, it will be hard (if not - impossible) to really guarantee termination, since the user (or \GHC + impossible) to really guarantee termination, since the user (or \GHC\ desugarer) might use some obscure notation that results in a corner case of the simplifier that is not caught and thus non-termination.