Fix / remove some final todos.
[matthijs/master-project/report.git] / Chapters / HardwareDescription.tex
index 7b561995b10c34be5026ca59d44f70a05eb2e8a1..f56723c1dd4c46e37495502304c2ece6dc15ed13 100644 (file)
@@ -5,8 +5,6 @@
   the implementation. The prototype implementation will be discussed in
   \in{chapter}[chap:prototype].
 
-  \todo{Shortshort introduction to Cλash (Bit, Word, and, not, etc.)}
-
   To translate Haskell to hardware, every Haskell construct needs a
   translation to \VHDL. There are often multiple valid translations
   possible. When faced with choices, the most obvious choice has been
   possible.
    
   \placeintermezzo{}{
-    \defref{top level binder}
-    \defref{top level function}
-    \startframedtext[width=8cm,background=box,frame=no]
+    \defref{reading examples}
+    \startframedtext[width=9cm,background=box,frame=no]
     \startalignment[center]
-      {\tfa Top level binders and functions}
+      {\tfa Reading the examples}
     \stopalignment
     \blank[medium]
-      A top level binder is any binder (variable) that is declared in
-      the \quote{global} scope of a Haskell program (as opposed to a
-      binder that is bound inside a function.
-
-      In Haskell, there is no sharp distinction between a variable and a
-      function: A function is just a variable (binder) with a function
-      type. This means that a top level function is just any top level
-      binder with a function type.
-
-      As an example, consider the following Haskell snippet:
-
-      \starthaskell
-        foo :: Int -> Int
-        foo x = inc x
-          where
-            inc = \y -> y + 1
-      \stophaskell
-
-      Here, \hs{foo} is a top level binder, whereas \hs{inc} is a
-      function (since it is bound to a lambda extraction, indicated by
-      the backslash) but is not a top level binder or function.  Since
-      the type of \hs{foo} is a function type, namely \hs{Int -> Int},
-      it is also a top level function.
+      In this thesis, a lot of functional code will be presented. Part of this
+      will be valid Cλash code, but others will just be small Haskell or Core
+      snippets to illustrate a concept.
+
+      In these examples, some functions and types will be used, without
+      properly defining every one of them. These functions (like \hs{and},
+      \hs{not}, \hs{add}, \hs{+}, etc.) and types (like \hs{Bit}, \hs{Word},
+      \hs{Bool}, etc.) are usually pretty self-explanatory.
+
+      The special type \hs{[t]} means \quote{list of \hs{t}'s}, where \hs{t}
+      can be any other type.
+
+      Of particular note is the use of the \hs{::} operator. It is used in
+      Haskell to explicitly declare the type of function or let binding. In
+      these examples and the text, we will occasionally use this operator to
+      show the type of arbitrary expressions, even where this would not
+      normally be valid. Just reading the \hs{::} operator as \quote{and also
+      note that \emph{this} expression has \emph{this} type} should work out.
     \stopframedtext
   }
+
   In this chapter we describe how to interpret a Haskell program from a
   hardware perspective. We provide a description of each Haskell language
   element that needs translation, to provide a clear picture of what is
 
 
   \section[sec:description:application]{Function application}
-  \todo{Sidenote: Inputs vs arguments}
   The basic syntactic elements of a functional program are functions and
   function application. These have a single obvious \small{VHDL}
-  translation: Each top level function becomes a hardware component, where each
+  translation: each top level function becomes a hardware component, where each
   argument is an input port and the result value is the (single) output
   port. This output port can have a complex type (such as a tuple), so
   having just a single output port does not pose a limitation.
   mapped to a signal, which is used as the result of the application.
 
   Since every top level function generates its own component, the
-  hierarchy of of function calls is reflected in the final \VHDL output
-  as well, creating a hierarchical \VHDL description of the hardware.
-  This separation in different components makes the resulting \VHDL
+  hierarchy of of function calls is reflected in the final \VHDL\ output
+  as well, creating a hierarchical \VHDL\ description of the hardware.
+  This separation in different components makes the resulting \VHDL\
   output easier to read and debug.
 
   \in{Example}[ex:And3] shows a simple program using only function
   application and the corresponding architecture.
 
 \startbuffer[And3]
--- A simple function that returns 
---   conjunction of three bits
+-- A simple function that returns 
+-- conjunction of three bits
 and3 :: Bit -> Bit -> Bit -> Bit
 and3 a b c = and (and a b) c
 \stopbuffer
@@ -116,28 +108,88 @@ and3 a b c = and (and a b) c
     ncline(andb)(out);
   \stopuseMPgraphic
 
-  \placeexample[here][ex:And3]{Simple three input and gate.}
+  \startbuffer[And3VHDL]
+    entity and3Component_0 is
+         port (\azMyG2\ : in std_logic;
+               \bzMyI2\ : in std_logic;
+               \czMyK2\ : in std_logic;
+               \foozMySzMyS2\ : out std_logic;
+               clock : in std_logic;
+               resetn : in std_logic);
+    end entity and3Component_0;
+
+
+    architecture structural of and3Component_0 is
+         signal \argzMyMzMyM2\ : std_logic;
+    begin
+         \argzMyMzMyM2\ <= \azMyG2\ and \bzMyI2\;
+
+         \foozMySzMyS2\ <= \argzMyMzMyM2\ and \czMyK2\;
+    end architecture structural;
+  \stopbuffer
+
+  \placeexample[][ex:And3]{Simple three input and gate.}
     \startcombination[2*1]
       {\typebufferhs{And3}}{Haskell description using function applications.}
       {\boxedgraphic{And3}}{The architecture described by the Haskell description.}
     \stopcombination
 
+  \placeexample[][ex:And3VHDL]{\VHDL\ generated for \hs{and3} from \in{example}[ex:And3]}
+      {\typebuffervhdl{And3VHDL}}
+
+  \placeintermezzo{}{
+    \defref{top level binding}
+    \defref{top level binder}
+    \defref{top level function}
+    \startframedtext[width=8cm,background=box,frame=no]
+    \startalignment[center]
+      {\tfa Top level binders and functions}
+    \stopalignment
+    \blank[medium]
+      A \emph{top level binder} is any binder (variable) that is
+      declared in the \quote{global} scope of a Haskell program (as
+      opposed to a binder that is bound inside a function. The binder
+      together with its body is referred to as a \emph{top level
+      binding}.
+
+      In Haskell, there is no sharp distinction between a variable and a
+      function: a function is just a variable (binder) with a function
+      type. This means that a \emph{top level function} is just any top
+      level binder with a function type. This also means that sometimes
+      top level function will be used when top level binder is really
+      meant.
+
+      As an example, consider the following Haskell snippet:
+
+      \starthaskell
+        foo :: Int -> Int
+        foo x = inc x
+          where
+            inc = \y -> y + 1
+      \stophaskell
+
+      Here, \hs{foo} is a top level binder, whereas \hs{inc} is a
+      function (since it is bound to a lambda extraction, indicated by
+      the backslash) but is not a top level binder or function.  Since
+      the type of \hs{foo} is a function type, namely \hs{Int -> Int},
+      it is also a top level function.
+    \stopframedtext
+  }
   \section{Choice}
     Although describing components and connections allows us to describe a lot of
-    hardware designs already, there is an obvious thing missing: Choice. We
+    hardware designs already, there is an obvious thing missing: choice. We
     need some way to be able to choose between values based on another value.
     In Haskell, choice is achieved by \hs{case} expressions, \hs{if}
     expressions, pattern matching and guards.
 
     An obvious way to add choice to our language without having to recognize
-    any of Haskell's syntax, would be to add a primivite \quote{\hs{if}}
-    function. This function would take three arguments: The condition, the
+    any of Haskell's syntax, would be to add a primitive \quote{\hs{if}}
+    function. This function would take three arguments: the condition, the
     value to return when the condition is true and the value to return when
     the condition is false.
 
     This \hs{if} function would then essentially describe a multiplexer and
-    allows us to describe any architecture that uses multiplexers. \fxnote{Are
-    there other mechanisms of choice in hardware?}
+    allows us to describe any architecture that uses multiplexers.
 
     However, to be able to describe our hardware in a more convenient way, we
     also want to translate Haskell's choice mechanisms. The easiest of these
@@ -146,24 +198,62 @@ and3 a b c = and (and a b) c
     simply be translated to a conditional assignment, where the conditions use
     equality comparisons against the constructors in the \hs{case} expressions.
 
-    \todo{Assignment vs multiplexers}
+    In \in{example}[ex:Inv] two versions of an inverter are shown. The first
+    uses a simple \hs{case} expression, scrutinizing a Boolean value.  The
+    corresponding architecture has a comparator to determine which of the
+    constructors is on the \hs{in} input. There is a multiplexer to select the
+    output signal (which is just a conditional assignment in the generated
+    \VHDL). The two options for the output signals are just constants,
+    but these could have been more complex expressions (in which case also
+    both of them would be working in parallel, regardless of which output
+    would be chosen eventually). The \VHDL\ generated for (both versions of)
+    this inverter is shown in \in{example}[ex:InvVHDL].
 
-    In \in{example}[ex:CaseInv] a simple \hs{case} expression is shown,
-    scrutinizing a boolean value. The corresponding architecture has a
-    comparator to determine which of the constructors is on the \hs{in}
-    input. There is a multiplexer to select the output signal. The two options
-    for the output signals are just constants, but these could have been more
-    complex expressions (in which case also both of them would be working in
-    parallel, regardless of which output would be chosen eventually).
-    
     If we would translate a Boolean to a bit value, we could of course remove
     the comparator and directly feed 'in' into the multiplexer (or even use an
     inverter instead of a multiplexer).  However, we will try to make a
     general translation, which works for all possible \hs{case} expressions.
-    Optimizations such as these are left for the \VHDL synthesizer, which
+    Optimizations such as these are left for the \VHDL\ synthesizer, which
     handles them very well.
 
-    \todo{Be more explicit about >2 alternatives}
+    \placeintermezzo{}{
+      \startframedtext[width=8cm,background=box,frame=no]
+      \startalignment[center]
+        {\tfa Arguments / results vs. inputs / outputs}
+      \stopalignment
+      \blank[medium]
+        Due to the translation chosen for function application, there is a
+        very strong relation between arguments, results, inputs and outputs.
+        For clarity, the former two will always refer to the arguments and
+        results in the functional description (either Haskell or Core). The
+        latter two will refer to input and output ports in the generated
+        \VHDL.
+
+        Even though these concepts seem to be nearly identical, when stateful
+        functions are introduces we will see arguments and results that will
+        not get translated into input and output ports, making this
+        distinction more important.
+      \stopframedtext
+    }
+
+    A slightly more complex (but very powerful) form of choice is pattern
+    matching. A function can be defined in multiple clauses, where each clause
+    specifies a pattern. When the arguments match the pattern, the
+    corresponding clause will be used.
+
+    \in{Example}[ex:Inv] also shows an inverter that uses pattern matching.
+    The architecture it describes is of course the
+    same one as the description with a case expression. The general interpretation
+    of pattern matching is also similar to that of \hs{case} expressions: generate
+    hardware for each of the clauses (like each of the clauses of a \hs{case}
+    expression) and connect them to the function output through (a number of
+    nested) multiplexers. These multiplexers are driven by comparators and
+    other logic, that check each pattern in turn.
+
+    In these examples we have seen only binary case expressions and pattern
+    matches (\ie, with two alternatives). In practice, case expressions can
+    choose between more than two values, resulting in a number of nested
+    multiplexers.
 
     \startbuffer[CaseInv]
     inv :: Bool -> Bool
@@ -172,7 +262,13 @@ and3 a b c = and (and a b) c
       False -> True
     \stopbuffer
 
-    \startuseMPgraphic{CaseInv}
+    \startbuffer[PatternInv]
+    inv :: Bool -> Bool
+    inv True = False
+    inv False = True
+    \stopbuffer
+
+    \startuseMPgraphic{Inv}
       save in, truecmp, falseout, trueout, out, cmp, mux;
 
       % I/O ports
@@ -205,39 +301,55 @@ and3 a b c = and (and a b) c
       ncline(trueout)(mux) "posB(inpb)";
       ncline(mux)(out) "posA(out)";
     \stopuseMPgraphic
-
-    \placeexample[here][ex:CaseInv]{Simple inverter.}
-      \startcombination[2*1]
-        {\typebufferhs{CaseInv}}{Haskell description using a Case expression.}
-        {\boxedgraphic{CaseInv}}{The architecture described by the Haskell description.}
-      \stopcombination
-
-    A slightly more complex (but very powerful) form of choice is pattern
-    matching. A function can be defined in multiple clauses, where each clause
-    specifies a pattern. When the arguments match the pattern, the
-    corresponding clause will be used.
-
-    \startbuffer[PatternInv]
-    inv :: Bool -> Bool
-    inv True = False
-    inv False = True
+    
+    \startbuffer[InvVHDL]
+      entity invComponent_0 is
+           port (\xzAMo2\ : in boolean;
+                 \reszAMuzAMu2\ : out boolean;
+                 clock : in std_logic;
+                 resetn : in std_logic);
+      end entity invComponent_0;
+
+
+      architecture structural of invComponent_0 is
+      begin
+           \reszAMuzAMu2\ <= false when \xzAMo2\ = true else
+                             true;
+      end architecture structural; 
     \stopbuffer
 
-    \placeexample[here][ex:PatternInv]{Simple inverter using pattern matching.
-    Describes the same architecture as \in{example}[ex:CaseInv].}
-        {\typebufferhs{PatternInv}}
+    \placeexample[][ex:Inv]{Simple inverter.}{
+      % Use placesidebyside, since nesting combinations doesn't seem to work
+      % here. This does break centering, but well...
+      \placesidebyside
+        % Use 2*2 instead of 1*2 to insert some extra space (\placesidebyside
+        % places stuff very close together)
+        {\startcombination[2*2]
+          {\typebufferhs{CaseInv}}{Haskell description using a Case expression.}
+          {}{}
+          {\typebufferhs{PatternInv}}{Haskell description using Pattern matching expression.}
+          {}{}
+        \stopcombination}
+        % Use a 1*1 combination to add a caption
+        {\startcombination[1*1]
+          {\boxedgraphic{Inv}}{The architecture described by the Haskell descriptions.}
+        \stopcombination}
+      }
 
-    The architecture described by \in{example}[ex:PatternInv] is of course the
-    same one as the one in \in{example}[ex:CaseInv]. The general interpretation
-    of pattern matching is also similar to that of \hs{case} expressions: Generate
-    hardware for each of the clauses (like each of the clauses of a \hs{case}
-    expression) and connect them to the function output through (a number of
-    nested) multiplexers. These multiplexers are driven by comparators and
-    other logic, that check each pattern in turn.
+%    \placeexample[][ex:Inv]{Simple inverter.}{
+%        \startcombination[2*2]
+%          {\typebufferhs{CaseInv}}{Haskell description using a Case expression.}
+%          {}{}
+%          {\typebufferhs{PatternInv}}{Haskell description using Pattern matching expression.}
+%          {\boxedgraphic{Inv}}{The architecture described by the Haskell description.}
+%        \stopcombination
+%    }
+    \placeexample[][ex:InvVHDL]{\VHDL\ generated for (both versions of) \hs{inv} from \in{example}[ex:Inv]}
+        {\typebuffervhdl{InvVHDL}}
 
   \section{Types}
     Translation of two most basic functional concepts has been
-    discussed: Function application and choice. Before looking further
+    discussed: function application and choice. Before looking further
     into less obvious concepts like higher-order expressions and
     polymorphism, the possible types that can be used in hardware
     descriptions will be discussed.
@@ -284,7 +396,7 @@ and3 a b c = and (and a b) c
       \stopdesc
       \startdesc{\hs{SizedWord}, \hs{SizedInt}}
         These are types to represent integers. A \hs{SizedWord} is unsigned,
-        while a \hs{SizedInt} is signed. These types are parameterized by a
+        while a \hs{SizedInt} is signed. These types are parametrized by a
         length type, so you can define an unsigned word of 32 bits wide as
         follows:
         
@@ -303,12 +415,12 @@ and3 a b c = and (and a b) c
       \stopdesc
       \startdesc{\hs{Vector}}
         This is a vector type, that can contain elements of any other type and
-        has a fixed length. It has two type parameters: Its
+        has a fixed length. It has two type parameters: its
         length and the type of the elements contained in it. By putting the
         length parameter in the type, the length of a vector can be determined
-        at compile time, instead of only at runtime for conventional lists.
+        at compile time, instead of only at run-time for conventional lists.
 
-        The \hs{Vector} type constructor takes two type arguments: The length
+        The \hs{Vector} type constructor takes two type arguments: the length
         of the vector and the type of the elements contained in it. The state
         type of an 8 element register bank would then for example be:
 
@@ -326,12 +438,12 @@ and3 a b c = and (and a b) c
       \stopdesc
       \startdesc{\hs{RangedWord}}
         This is another type to describe integers, but unlike the previous
-        two it has no specific bitwidth, but an upper bound. This means that
+        two it has no specific bit-width, but an upper bound. This means that
         its range is not limited to powers of two, but can be any number.
         A \hs{RangedWord} only has an upper bound, its lower bound is
         implicitly zero. There is a lot of added implementation complexity
         when adding a lower bound and having just an upper bound was enough
-        for the primary purpose of this type: Typesafely indexing vectors.
+        for the primary purpose of this type: type-safely indexing vectors.
 
         To define an index for the 8 element vector above, we would do:
 
@@ -352,19 +464,19 @@ and3 a b c = and (and a b) c
       in \cite[baaij09].
 
     \subsection{User-defined types}
-      There are three ways to define new types in Haskell: Algebraic
-      datatypes with the \hs{data} keyword, type synonyms with the \hs{type}
-      keyword and type renamings with the \hs{newtype} keyword. \GHC
+      There are three ways to define new types in Haskell: algebraic
+      data-types with the \hs{data} keyword, type synonyms with the \hs{type}
+      keyword and type renamings with the \hs{newtype} keyword. \GHC\
       offers a few more advanced ways to introduce types (type families,
       existential typing, \small{GADT}s, etc.) which are not standard
       Haskell.  These will be left outside the scope of this research.
 
       Only an algebraic datatype declaration actually introduces a
-      completely new type, for which we provide the \VHDL translation
+      completely new type, for which we provide the \VHDL\ translation
       below. Type synonyms and renamings only define new names for
       existing types (where synonyms are completely interchangeable and
       renamings need explicit conversion). Therefore, these do not need
-      any particular \VHDL translation, a synonym or renamed type will
+      any particular \VHDL\ translation, a synonym or renamed type will
       just use the same representation as the original type. The
       distinction between a renaming and a synonym does no longer matter
       in hardware and can be disregarded in the generated \VHDL.
@@ -382,9 +494,9 @@ and3 a b c = and (and a b) c
         to this type. The collection for a product type is the Cartesian
         product of the collections for the types of its fields.
 
-        These types are translated to \VHDL record types, with one field for
+        These types are translated to \VHDL\ record types, with one field for
         every field in the constructor. This translation applies to all single
-        constructor algebraic datatypes, including those with just one
+        constructor algebraic data-types, including those with just one
         field (which are technically not a product, but generate a VHDL
         record for implementation simplicity).
       \stopdesc
@@ -392,12 +504,12 @@ and3 a b c = and (and a b) c
         \defref{enumerated types}
         An enumerated type is an algebraic datatype with multiple constructors, but
         none of them have fields. This is essentially a way to get an
-        enum-like type containing alternatives.
+        enumeration-like type containing alternatives.
 
         Note that Haskell's \hs{Bool} type is also defined as an
         enumeration type, but we have a fixed translation for that.
         
-        These types are translated to \VHDL enumerations, with one value for
+        These types are translated to \VHDL\ enumerations, with one value for
         each constructor. This allows references to these constructors to be
         translated to the corresponding enumeration value.
       \stopdesc
@@ -413,7 +525,7 @@ and3 a b c = and (and a b) c
         union of the the collections for each of the constructors.
 
         Sum types are currently not supported by the prototype, since there is
-        no obvious \VHDL alternative. They can easily be emulated, however, as
+        no obvious \VHDL\ alternative. They can easily be emulated, however, as
         we will see from an example:
 
         \starthaskell
@@ -435,22 +547,22 @@ and3 a b c = and (and a b) c
         fields of the \hs{Sum} type are valid (the first two if \hs{A}, the
         last one if \hs{B}), all the other ones have no useful value.
 
-        An obvious problem with this naive approach is the space usage: The
-        example above generates a fairly big \VHDL type. Since we can be
+        An obvious problem with this naive approach is the space usage: the
+        example above generates a fairly big \VHDL\ type. Since we can be
         sure that the two \hs{Word}s in the \hs{Sum} type will never be valid
         at the same time, this is a waste of space.
 
         Obviously, duplication detection could be used to reuse a
         particular field for another constructor, but this would only
         partially solve the problem. If two fields would be, for
-        example, an array of 8 bits and an 8 bit unsiged word, these are
+        example, an array of 8 bits and an 8 bit unsigned word, these are
         different types and could not be shared. However, in the final
         hardware, both of these types would simply be 8 bit connections,
         so we have a 100\% size increase by not sharing these.
       \stopdesc
 
       Another interesting case is that of recursive types. In Haskell, an
-      algebraic datatype can be recursive: Any of its field types can be (or
+      algebraic datatype can be recursive: any of its field types can be (or
       contain) the type being defined. The most well-known recursive type is
       probably the list type, which is defined is:
       
@@ -460,41 +572,41 @@ and3 a b c = and (and a b) c
 
       Note that \hs{Empty} is usually written as \hs{[]} and \hs{Cons} as
       \hs{:}, but this would make the definition harder to read.  This
-      immediately shows the problem with recursive types: What hardware type
+      immediately shows the problem with recursive types: what hardware type
       to allocate here? 
       
       If the naive approach for sum types described above would be used,
       a record would be created where the first field is an enumeration
       to distinguish \hs{Empty} from \hs{Cons}. Furthermore, two more
-      fields would be added: One with the (\VHDL equivalent of) type
+      fields would be added: one with the (\VHDL\ equivalent of) type
       \hs{t} (assuming this type is actually known at compile time, this
       should not be a problem) and a second one with type \hs{List t}.
-      The latter one is of course a problem: This is exactly the type
+      The latter one is of course a problem: this is exactly the type
       that was to be translated in the first place.
       
-      The resulting \VHDL type will thus become infinitely deep. In
+      The resulting \VHDL\ type will thus become infinitely deep. In
       other words, there is no way to statically determine how long
       (deep) the list will be (it could even be infinite).
       
-      In general, recursive types can never be properly translated: All
+      In general, recursive types can never be properly translated: all
       recursive types have a potentially infinite value (even though in
       practice they will have a bounded value, there is no way for the
       compiler to automatically determine an upper bound on its size).
 
   \subsection{Partial application}
   Now the translation of application, choice and types has been
-  discussed, a more complex concept can be considered: Partial
+  discussed, a more complex concept can be considered: partial
   applications. A \emph{partial application} is any application whose
   (return) type is (again) a function type.
 
   From this, it should be clear that the translation rules for full
-  application does not apply to a partial application: There are not
+  application does not apply to a partial application: there are not
   enough values for all the input ports in the resulting \VHDL.
   \in{Example}[ex:Quadruple] shows an example use of partial application
   and the corresponding architecture.
 
 \startbuffer[Quadruple]
--- Multiply the input word by four.
+-- Multiply the input word by four.
 quadruple :: Word -> Word
 quadruple n = mul (mul n)
   where
@@ -529,13 +641,13 @@ quadruple n = mul (mul n)
     ncline(mulb)(out);
   \stopuseMPgraphic
 
-  \placeexample[here][ex:Quadruple]{Simple three port and.}
+  \placeexample[][ex:Quadruple]{Simple three port and.}
     \startcombination[2*1]
       {\typebufferhs{Quadruple}}{Haskell description using function applications.}
       {\boxedgraphic{Quadruple}}{The architecture described by the Haskell description.}
     \stopcombination
 
-  Here, the definition of mul is a partial function application: It applies
+  Here, the definition of mul is a partial function application: it applies
   the function \hs{(*) :: Word -> Word -> Word} to the value \hs{2 :: Word},
   resulting in the expression \hs{(*) 2 :: Word -> Word}. Since this resulting
   expression is again a function, hardware cannot be generated for it
@@ -550,7 +662,7 @@ quadruple n = mul (mul n)
   \in{section}[sec:description:application]. It might mean that a
   partial application is passed around quite a bit (even beyond function
   boundaries), but eventually, the partial application will become
-  completely applied. An example of this principe is given in
+  completely applied. An example of this principle is given in
   \in{section}[sec:normalization:defunctionalization].
 
   \section{Costless specialization}
@@ -562,18 +674,18 @@ quadruple n = mul (mul n)
     function is the same (of course, if a particular value, such as the result
     of a function application, is used twice, it is not calculated twice).
 
-    This is distinctly different from normal program compilation: Two separate
+    This is distinctly different from normal program compilation: two separate
     calls to the same function share the same machine code. Having more
     machine code has implications for speed (due to less efficient caching)
     and memory usage. For normal compilation, it is therefore important to
     keep the amount of functions limited and maximize the code sharing
-    (though there is a tradeoff between speed and memory usage here).
+    (though there is a trade-off between speed and memory usage here).
 
     When generating hardware, this is hardly an issue. Having more \quote{code
     sharing} does reduce the amount of \small{VHDL} output (Since different
     component instantiations still share the same component), but after
     synthesis, the amount of hardware generated is not affected. This
-    means there is no tradeoff between speed and memory (or rather,
+    means there is no trade-off between speed and memory (or rather,
     chip area) usage.
 
     In particular, if we would duplicate all functions so that there is a
@@ -592,7 +704,7 @@ quadruple n = mul (mul n)
       \defref{specialization}
       Given some function that has a \emph{domain} $D$ (\eg, the set of
       all possible arguments that the function could be applied to), we
-      create a specialized function with exactly the same behaviour, but
+      create a specialized function with exactly the same behavior, but
       with a domain $D' \subset D$. This subset can be chosen in all
       sorts of ways. Any subset is valid for the general definition of
       specialization, but in practice only some of them provide useful
@@ -641,16 +753,16 @@ quadruple n = mul (mul n)
     \fxnote{This section needs improvement and an example}
 
   \section{Polymorphism}
-    In Haskell, values can be \emph{polymorphic}: They can have multiple types. For
+    In Haskell, values can be \emph{polymorphic}: they can have multiple types. For
     example, the function \hs{fst :: (a, b) -> a} is an example of a
-    polymorphic function: It works for tuples with any two element types. Haskell
+    polymorphic function: it works for tuples with any two element types. Haskell
     type classes allow a function to work on a specific set of types, but the
     general idea is the same. The opposite of this is a \emph{monomorphic}
     value, which has a single, fixed, type.
 
 %    A type class is a collection of types for which some operations are
 %    defined. It is thus possible for a value to be polymorphic while having
-%    any number of \emph{class constraints}: The value is not defined for
+%    any number of \emph{class constraints}: the value is not defined for
 %    every type, but only for types in the type class. An example of this is
 %    the \hs{even :: (Integral a) => a -> Bool} function, which can map any
 %    value of a type that is member of the \hs{Integral} type class 
@@ -658,14 +770,14 @@ quadruple n = mul (mul n)
     When generating hardware, polymorphism cannot be easily translated. How
     many wires will you lay down for a value that could have any type? When
     type classes are involved, what hardware components will you lay down for
-    a class method (whose behaviour depends on the type of its arguments)?
+    a class method (whose behavior depends on the type of its arguments)?
     Note that Cλash currently does not allow user-defined type classes,
     but does partly support some of the built-in type classes (like \hs{Num}).
 
-    Fortunately, we can again use the principle of specialization: Since every
+    Fortunately, we can again use the principle of specialization: since every
     function application generates a separate piece of hardware, we can know
     the types of all arguments exactly. Provided that existential typing
-    (which is a \GHC extension) is not used typing, all of the
+    (which is a \GHC\ extension) is not used typing, all of the
     polymorphic types in a function must depend on the types of the
     arguments (In other words, the only way to introduce a type variable
     is in a lambda abstraction).
@@ -689,10 +801,10 @@ quadruple n = mul (mul n)
     stateless (or, \emph{combinational}) design, every output is  directly and solely dependent on the
     inputs. In a stateful design, the outputs can depend on the history of
     inputs, or the \emph{state}. State is usually stored in \emph{registers},
-    which retain their value during a clockcycle, and are typically updated at
-    the start of every clockcycle. Since the updating of the state is tightly
+    which retain their value during a clock cycle, and are typically updated at
+    the start of every clock cycle. Since the updating of the state is tightly
     coupled (synchronized) to the clock signal, these state updates are often
-    called \emph{synchronous} behaviour.
+    called \emph{synchronous} behavior.
 
     \todo{Sidenote? Registers can contain any (complex) type}
   
@@ -725,7 +837,7 @@ quadruple n = mul (mul n)
 
         Purity is an important property in functional languages, since
         it enables all kinds of mathematical reasoning and
-        optimizattions with pure functions, that are not guaranteed to
+        optimizations with pure functions, that are not guaranteed to
         be correct for impure functions.
 
         An example of a pure function is the square root function
@@ -746,12 +858,12 @@ quadruple n = mul (mul n)
 
       So our functions must remain pure, meaning the current state has
       to be present in the function's arguments in some way. There seem
-      to be two obvious ways to do this: Adding the current state as an
+      to be two obvious ways to do this: adding the current state as an
       argument, or including the full history of each argument.
 
       \subsubsection{Stream arguments and results}
         Including the entire history of each input (\eg, the value of that
-        input for each previous clockcycle) is an obvious way to make outputs
+        input for each previous clock cycle) is an obvious way to make outputs
         depend on all previous input. This is easily done by making every
         input a list instead of a single value, containing all previous values
         as well as the current value.
@@ -766,7 +878,7 @@ quadruple n = mul (mul n)
         ForSyDe, ...} Make functions operate on complete streams. This means
         that a function is no longer called on every cycle, but just once. It
         takes stream as inputs instead of values, where each stream contains
-        all the values for every clockcycle since system start. This is easily
+        all the values for every clock cycle since system start. This is easily
         modeled using an (infinite) list, with one element for each clock
         cycle. Since the function is only evaluated once, its output must also
         be a stream. Note that, since we are working with infinite lists and
@@ -775,26 +887,26 @@ quadruple n = mul (mul n)
 
         Since our inputs and outputs are streams, all other (intermediate)
         values must be streams. All of our primitive operators (\eg, addition,
-        substraction, bitwise operations, etc.) must operate on streams as
+        subtraction, bit-wise operations, etc.) must operate on streams as
         well (note that changing a single-element operation to a stream
         operation can done with \hs{map}, \hs{zipwith}, etc.).
 
         This also means that primitive operations from an existing
         language such as Haskell cannot be used directly (including some
         syntax constructs, like \hs{case} expressions and \hs{if}
-        expressions).  This mkes this approach well suited for use in
+        expressions).  This makes this approach well suited for use in
         \small{EDSL}s, since those already impose these same
         limitations. \refdef{EDSL}
 
         Note that the concept of \emph{state} is no more than having some way
         to communicate a value from one cycle to the next. By introducing a
-        \hs{delay} function, we can do exactly that: Delay (each value in) a
+        \hs{delay} function, we can do exactly that: delay (each value in) a
         stream so that we can "look into" the past. This \hs{delay} function
         simply outputs a stream where each value is the same as the input
         value, but shifted one cycle. This causes a \quote{gap} at the
-        beginning of the stream: What is the value of the delay output in the
-        first cycle? For this, the \hs{delay} function has a second input
-        (which is a value, not a stream!).
+        beginning of the stream: what is the value of the delay output in the
+        first cycle? For this, the \hs{delay} function has a second input, of
+        which only a single value is used.
 
         \in{Example}[ex:DelayAcc] shows a simple accumulator expressed in this
         style.
@@ -832,7 +944,7 @@ acc in = out
 \stopuseMPgraphic
 
 
-        \placeexample[here][ex:DelayAcc]{Simple accumulator architecture.}
+        \placeexample[][ex:DelayAcc]{Simple accumulator architecture.}
           \startcombination[2*1]
             {\typebufferhs{DelayAcc}}{Haskell description using streams.}
             {\boxedgraphic{DelayAcc}}{The architecture described by the Haskell description.}
@@ -867,7 +979,7 @@ acc in s = (s', out)
     s'  = out
 \stopbuffer
 
-        \placeexample[here][ex:ExplicitAcc]{Simple accumulator architecture.}
+        \placeexample[][ex:ExplicitAcc]{Simple accumulator architecture.}
           \startcombination[2*1]
             {\typebufferhs{ExplicitAcc}}{Haskell description using explicit state arguments.}
             % Picture is identical to the one we had just now.
@@ -893,7 +1005,7 @@ acc in s = (s', out)
 
       \subsubsection{Substates}
         Since a function's state is reflected directly in its type signature,
-        if a function calls other stateful functions (\eg, has subcircuits), it
+        if a function calls other stateful functions (\eg, has sub-circuits), it
         has to somehow know the current state for these called functions. The
         only way to do this, is to put these \emph{substates} inside the
         caller's state. This means that a function's state is the sum of the
@@ -905,11 +1017,10 @@ acc in s = (s', out)
         part) is dependent on its own implementation and of the functions it
         calls.
 
-        This is the major downside of this approach: The separation between
+        This is the major downside of this approach: the separation between
         interface and implementation is limited. However, since Cλash is not
-        very suitable for separate compilation (see
-        \in{section}[sec:prototype:separate]) this is not a big problem in
-        practice.
+        very suitable for separate compilation this is not a big problem
+        in practice.
 
         Additionally, when using a type synonym for the state type
         of each function, we can still provide explicit type signatures
@@ -918,18 +1029,18 @@ acc in s = (s', out)
         \todo{Example}
     
       \subsubsection{Which arguments and results are stateful?}
-        \fxnote{This section should get some examples}
+        \todo{This section should get some examples}
         We need some way to know which arguments should become input ports and
         which argument(s?) should become the current state (\eg, be bound to
         the register outputs). This does not hold just for the top
-        level function, but also for any subfunction. Or could we perhaps
-        deduce the statefulness of subfunctions by analyzing the flow of data
+        level function, but also for any sub-function. Or could we perhaps
+        deduce the statefulness of sub-functions by analyzing the flow of data
         in the calling functions?
 
-        To explore this matter, the following observeration is interesting: We
-        get completely correct behaviour when we put all state registers in
+        To explore this matter, the following observation is interesting: we
+        get completely correct behavior when we put all state registers in
         the top level entity (or even outside of it). All of the state
-        arguments and results on subfunctions are treated as normal input and
+        arguments and results on sub-functions are treated as normal input and
         output ports. Effectively, a stateful function results in a stateless
         hardware component that has one of its input ports connected to the
         output of a register and one of its output ports connected to the
@@ -938,23 +1049,23 @@ acc in s = (s', out)
         \todo{Example}
 
         Of course, even though the hardware described like this has the
-        correct behaviour, unless the layout tool does smart optimizations,
+        correct behavior, unless the layout tool does smart optimizations,
         there will be a lot of extra wire in the design (since registers will
         not be close to the component that uses them). Also, when working with
         the generated \small{VHDL} code, there will be a lot of extra ports
         just to pass on state values, which can get quite confusing.
 
         To fix this, we can simply \quote{push} the registers down into the
-        subcircuits. When we see a register that is connected directly to a
-        subcircuit, we remove the corresponding input and output port and put
-        the register inside the subcircuit instead. This is slightly less
+        sub-circuits. When we see a register that is connected directly to a
+        sub-circuit, we remove the corresponding input and output port and put
+        the register inside the sub-circuit instead. This is slightly less
         trivial when looking at the Haskell code instead of the resulting
         circuit, but the idea is still the same.
 
         \todo{Example}
 
         However, when applying this technique, we might push registers down
-        too far. When you intend to store a result of a stateless subfunction
+        too far. When you intend to store a result of a stateless sub-function
         in the caller's state and pass the current value of that state
         variable to that same function, the register might get pushed down too
         far. It is impossible to distinguish this case from similar code where
@@ -972,14 +1083,14 @@ acc in s = (s', out)
         \stopitemize
 
         The first option causes (non-obvious) exceptions in the language
-        intepretation. Also, automatically determining where registers should
+        interpretation. Also, automatically determining where registers should
         end up is easier to implement correctly with explicit annotations, so
         for these reasons we will look at how this annotations could work.
 
-        \todo{Sidenote: One or more state arguments?}
+        \todo{Sidenote: one or more state arguments?}
 
     \subsection[sec:description:stateann]{Explicit state annotation}
-      To make our stateful descriptions unambigious and easier to translate,
+      To make our stateful descriptions unambiguous and easier to translate,
       we need some way for the developer to describe which arguments and
       results are intended to become stateful.
     
@@ -990,7 +1101,7 @@ acc in s = (s', out)
         result is stateful. This means that the annotation lives
         \quote{outside} of the function, it is completely invisible when
         looking at the function body.
-        \item Use some kind of annotation on the type level, \ie give stateful
+        \item Use some kind of annotation on the type level, \ie\ give stateful
         arguments and stateful (parts of) results a different type. This has the
         potential to make this annotation visible inside the function as well,
         such that when looking at a value inside the function body you can
@@ -1021,10 +1132,27 @@ acc in s = (s', out)
   problem for generating hardware.
 
   This is expected for functions that describe infinite recursion. In that
-  case, we cannot generate hardware that shows correct behaviour in a single
+  case, we cannot generate hardware that shows correct behavior in a single
   cycle (at best, we could generate hardware that needs an infinite number of
   cycles to complete).
   
+  \placeintermezzo{}{
+    \startframedtext[width=8cm,background=box,frame=no]
+    \startalignment[center]
+      {\tfa \hs{null}, \hs{head} and \hs{tail}}
+    \stopalignment
+    \blank[medium]
+      The functions \hs{null}, \hs{head} and \hs{tail} are common list
+      functions in Haskell. The \hs{null} function simply checks if a list is
+      empty. The \hs{head} function returns the first element of a list. The
+      \hs{tail} function returns containing everything \emph{except} the first
+      element of a list.
+
+      In Cλash, there are vector versions of these functions, which do exactly
+      the same.
+    \stopframedtext
+  }
+
   However, most recursive definitions will describe finite
   recursion. This is because the recursive call is done conditionally. There
   is usually a \hs{case} expression where at least one alternative does not contain
@@ -1036,7 +1164,7 @@ acc in s = (s', out)
 
   This does imply the extra requirement that the base case is detectable at
   compile time. In particular, this means that the decision between the base
-  case and the recursive case must not depend on runtime data.
+  case and the recursive case must not depend on run-time data.
 
   \subsection{List recursion}
   The most common deciding factor in recursion is the length of a list that is
@@ -1054,19 +1182,21 @@ acc in s = (s', out)
       False -> head xs + sum (tail xs)
   \stophaskell
 
-  However, the Haskell typechecker will now use the following reasoning.
+  However, the Haskell type-checker will now use the following reasoning.
   For simplicity, the element type of a vector is left out, all vectors
   are assumed to have the same element type. Below, we write conditions
   on type variables before the \hs{=>} operator. This is not completely
-  valid Haskell syntax, but serves to illustrate the typechecker
+  valid Haskell syntax, but serves to illustrate the type-checker
   reasoning. Also note that a vector can never have a negative length,
   so \hs{Vector n} implicitly means \hs{(n >= 0) => Vector n}.
 
-  \todo{This typechecker disregards the type signature}
+  \todo{This type-checker disregards the type signature}
   \startitemize
   \item tail has the type \hs{(n > 0) => Vector n -> Vector (n - 1)}
   \item This means that xs must have the type \hs{(n > 0) => Vector n}
   \item This means that sum must have the type \hs{(n > 0) => Vector n -> a}
+  (The type \hs{a} is can be anything at this stage, we will not try to finds
+  its actual type in this example).
   \item sum is called with the result of tail as an argument, which has the
   type \hs{Vector n} (since \hs{(n > 0) => Vector (n - 1)} is the same as \hs{(n >= 0)
   => Vector n}, which is the same as just \hs{Vector n}).
@@ -1077,10 +1207,10 @@ acc in s = (s', out)
   \stopitemize
 
   As you can see, using a simple \hs{case} expression  at value level causes
-  the type checker to always typecheck both alternatives, which cannot be
-  done. The typechecker is unable to distinguish the two case
+  the type checker to always type-check both alternatives, which cannot be
+  done. The type-checker is unable to distinguish the two case
   alternatives (this is partly possible using \small{GADT}s, but that
-  approach faced other problems \todo{ref christiaan?}).
+  approach faced other problems \cite[baaij09]). 
 
   This is a fundamental problem, that would seem perfectly suited for a
   type class.  Considering that we need to switch between to
@@ -1090,8 +1220,6 @@ acc in s = (s', out)
   them that you need to define a new type class for every recursive
   function you want to define).
 
-  \todo{This should reference Christiaan}
-
   \subsection{General recursion}
   Of course there are other forms of recursion, that do not depend on the
   length (and thus type) of a list. For example, simple recursion using a
@@ -1101,7 +1229,7 @@ acc in s = (s', out)
   (evaluation of constant comparisons), to ensure non-termination.
   Supporting general recursion will probably require strict conditions
   on the input descriptions. Even then, it will be hard (if not
-  impossible) to really guarantee termination, since the user (or \GHC
+  impossible) to really guarantee termination, since the user (or \GHC\
   desugarer) might use some obscure notation that results in a corner
   case of the simplifier that is not caught and thus non-termination.