ccce87735bbc52f0965b46120470ffde93ab3569
[matthijs/master-project/dsd-paper.git] / cλash.lhs
1
2 %% bare_conf.tex
3 %% V1.3
4 %% 2007/01/11
5 %% by Michael Shell
6 %% See:
7 %% http://www.michaelshell.org/
8 %% for current contact information.
9 %%
10 %% This is a skeleton file demonstrating the use of IEEEtran.cls
11 %% (requires IEEEtran.cls version 1.7 or later) with an IEEE conference paper.
12 %%
13 %% Support sites:
14 %% http://www.michaelshell.org/tex/ieeetran/
15 %% http://www.ctan.org/tex-archive/macros/latex/contrib/IEEEtran/
16 %% and
17 %% http://www.ieee.org/
18
19 %%*************************************************************************
20 %% Legal Notice:
21 %% This code is offered as-is without any warranty either expressed or
22 %% implied; without even the implied warranty of MERCHANTABILITY or
23 %% FITNESS FOR A PARTICULAR PURPOSE! 
24 %% User assumes all risk.
25 %% In no event shall IEEE or any contributor to this code be liable for
26 %% any damages or losses, including, but not limited to, incidental,
27 %% consequential, or any other damages, resulting from the use or misuse
28 %% of any information contained here.
29 %%
30 %% All comments are the opinions of their respective authors and are not
31 %% necessarily endorsed by the IEEE.
32 %%
33 %% This work is distributed under the LaTeX Project Public License (LPPL)
34 %% ( http://www.latex-project.org/ ) version 1.3, and may be freely used,
35 %% distributed and modified. A copy of the LPPL, version 1.3, is included
36 %% in the base LaTeX documentation of all distributions of LaTeX released
37 %% 2003/12/01 or later.
38 %% Retain all contribution notices and credits.
39 %% ** Modified files should be clearly indicated as such, including  **
40 %% ** renaming them and changing author support contact information. **
41 %%
42 %% File list of work: IEEEtran.cls, IEEEtran_HOWTO.pdf, bare_adv.tex,
43 %%                    bare_conf.tex, bare_jrnl.tex, bare_jrnl_compsoc.tex
44 %%*************************************************************************
45
46 % *** Authors should verify (and, if needed, correct) their LaTeX system  ***
47 % *** with the testflow diagnostic prior to trusting their LaTeX platform ***
48 % *** with production work. IEEE's font choices can trigger bugs that do  ***
49 % *** not appear when using other class files.                            ***
50 % The testflow support page is at:
51 % http://www.michaelshell.org/tex/testflow/
52
53
54
55 % Note that the a4paper option is mainly intended so that authors in
56 % countries using A4 can easily print to A4 and see how their papers will
57 % look in print - the typesetting of the document will not typically be
58 % affected with changes in paper size (but the bottom and side margins will).
59 % Use the testflow package mentioned above to verify correct handling of
60 % both paper sizes by the user's LaTeX system.
61 %
62 % Also note that the "draftcls" or "draftclsnofoot", not "draft", option
63 % should be used if it is desired that the figures are to be displayed in
64 % draft mode.
65 %
66
67 \documentclass[conference,pdf,a4paper,10pt,final,twoside,twocolumn]{IEEEtran}
68 % Add the compsoc option for Computer Society conferences.
69 %
70 % If IEEEtran.cls has not been installed into the LaTeX system files,
71 % manually specify the path to it like:
72 % \documentclass[conference]{../sty/IEEEtran}
73
74 % Some very useful LaTeX packages include:
75 % (uncomment the ones you want to load)
76
77 % *** MISC UTILITY PACKAGES ***
78 %
79 %\usepackage{ifpdf}
80 % Heiko Oberdiek's ifpdf.sty is very useful if you need conditional
81 % compilation based on whether the output is pdf or dvi.
82 % usage:
83 % \ifpdf
84 %   % pdf code
85 % \else
86 %   % dvi code
87 % \fi
88 % The latest version of ifpdf.sty can be obtained from:
89 % http://www.ctan.org/tex-archive/macros/latex/contrib/oberdiek/
90 % Also, note that IEEEtran.cls V1.7 and later provides a builtin
91 % \ifCLASSINFOpdf conditional that works the same way.
92 % When switching from latex to pdflatex and vice-versa, the compiler may
93 % have to be run twice to clear warning/error messages.
94
95
96
97 % *** CITATION PACKAGES ***
98 %
99 \usepackage{cite}
100 % cite.sty was written by Donald Arseneau
101 % V1.6 and later of IEEEtran pre-defines the format of the cite.sty package
102 % \cite{} output to follow that of IEEE. Loading the cite package will
103 % result in citation numbers being automatically sorted and properly
104 % "compressed/ranged". e.g., [1], [9], [2], [7], [5], [6] without using
105 % cite.sty will become [1], [2], [5]--[7], [9] using cite.sty. cite.sty's
106 % \cite will automatically add leading space, if needed. Use cite.sty's
107 % noadjust option (cite.sty V3.8 and later) if you want to turn this off.
108 % cite.sty is already installed on most LaTeX systems. Be sure and use
109 % version 4.0 (2003-05-27) and later if using hyperref.sty. cite.sty does
110 % not currently provide for hyperlinked citations.
111 % The latest version can be obtained at:
112 % http://www.ctan.org/tex-archive/macros/latex/contrib/cite/
113 % The documentation is contained in the cite.sty file itself.
114
115
116
117
118
119
120 % *** GRAPHICS RELATED PACKAGES ***
121 %
122 \ifCLASSINFOpdf
123   \usepackage[pdftex]{graphicx}
124   % declare the path(s) where your graphic files are
125   % \graphicspath{{../pdf/}{../jpeg/}}
126   % and their extensions so you won't have to specify these with
127   % every instance of \includegraphics
128   % \DeclareGraphicsExtensions{.pdf,.jpeg,.png}
129 \else
130   % or other class option (dvipsone, dvipdf, if not using dvips). graphicx
131   % will default to the driver specified in the system graphics.cfg if no
132   % driver is specified.
133   % \usepackage[dvips]{graphicx}
134   % declare the path(s) where your graphic files are
135   % \graphicspath{{../eps/}}
136   % and their extensions so you won't have to specify these with
137   % every instance of \includegraphics
138   % \DeclareGraphicsExtensions{.eps}
139 \fi
140 % graphicx was written by David Carlisle and Sebastian Rahtz. It is
141 % required if you want graphics, photos, etc. graphicx.sty is already
142 % installed on most LaTeX systems. The latest version and documentation can
143 % be obtained at: 
144 % http://www.ctan.org/tex-archive/macros/latex/required/graphics/
145 % Another good source of documentation is "Using Imported Graphics in
146 % LaTeX2e" by Keith Reckdahl which can be found as epslatex.ps or
147 % epslatex.pdf at: http://www.ctan.org/tex-archive/info/
148 %
149 % latex, and pdflatex in dvi mode, support graphics in encapsulated
150 % postscript (.eps) format. pdflatex in pdf mode supports graphics
151 % in .pdf, .jpeg, .png and .mps (metapost) formats. Users should ensure
152 % that all non-photo figures use a vector format (.eps, .pdf, .mps) and
153 % not a bitmapped formats (.jpeg, .png). IEEE frowns on bitmapped formats
154 % which can result in "jaggedy"/blurry rendering of lines and letters as
155 % well as large increases in file sizes.
156 %
157 % You can find documentation about the pdfTeX application at:
158 % http://www.tug.org/applications/pdftex
159
160
161
162
163
164 % *** MATH PACKAGES ***
165 %
166 %\usepackage[cmex10]{amsmath}
167 % A popular package from the American Mathematical Society that provides
168 % many useful and powerful commands for dealing with mathematics. If using
169 % it, be sure to load this package with the cmex10 option to ensure that
170 % only type 1 fonts will utilized at all point sizes. Without this option,
171 % it is possible that some math symbols, particularly those within
172 % footnotes, will be rendered in bitmap form which will result in a
173 % document that can not be IEEE Xplore compliant!
174 %
175 % Also, note that the amsmath package sets \interdisplaylinepenalty to 10000
176 % thus preventing page breaks from occurring within multiline equations. Use:
177 %\interdisplaylinepenalty=2500
178 % after loading amsmath to restore such page breaks as IEEEtran.cls normally
179 % does. amsmath.sty is already installed on most LaTeX systems. The latest
180 % version and documentation can be obtained at:
181 % http://www.ctan.org/tex-archive/macros/latex/required/amslatex/math/
182
183
184
185
186
187 % *** SPECIALIZED LIST PACKAGES ***
188 %
189 %\usepackage{algorithmic}
190 % algorithmic.sty was written by Peter Williams and Rogerio Brito.
191 % This package provides an algorithmic environment fo describing algorithms.
192 % You can use the algorithmic environment in-text or within a figure
193 % environment to provide for a floating algorithm. Do NOT use the algorithm
194 % floating environment provided by algorithm.sty (by the same authors) or
195 % algorithm2e.sty (by Christophe Fiorio) as IEEE does not use dedicated
196 % algorithm float types and packages that provide these will not provide
197 % correct IEEE style captions. The latest version and documentation of
198 % algorithmic.sty can be obtained at:
199 % http://www.ctan.org/tex-archive/macros/latex/contrib/algorithms/
200 % There is also a support site at:
201 % http://algorithms.berlios.de/index.html
202 % Also of interest may be the (relatively newer and more customizable)
203 % algorithmicx.sty package by Szasz Janos:
204 % http://www.ctan.org/tex-archive/macros/latex/contrib/algorithmicx/
205
206
207
208
209 % *** ALIGNMENT PACKAGES ***
210 %
211 %\usepackage{array}
212 % Frank Mittelbach's and David Carlisle's array.sty patches and improves
213 % the standard LaTeX2e array and tabular environments to provide better
214 % appearance and additional user controls. As the default LaTeX2e table
215 % generation code is lacking to the point of almost being broken with
216 % respect to the quality of the end results, all users are strongly
217 % advised to use an enhanced (at the very least that provided by array.sty)
218 % set of table tools. array.sty is already installed on most systems. The
219 % latest version and documentation can be obtained at:
220 % http://www.ctan.org/tex-archive/macros/latex/required/tools/
221
222
223 %\usepackage{mdwmath}
224 %\usepackage{mdwtab}
225 % Also highly recommended is Mark Wooding's extremely powerful MDW tools,
226 % especially mdwmath.sty and mdwtab.sty which are used to format equations
227 % and tables, respectively. The MDWtools set is already installed on most
228 % LaTeX systems. The lastest version and documentation is available at:
229 % http://www.ctan.org/tex-archive/macros/latex/contrib/mdwtools/
230
231
232 % IEEEtran contains the IEEEeqnarray family of commands that can be used to
233 % generate multiline equations as well as matrices, tables, etc., of high
234 % quality.
235
236
237 %\usepackage{eqparbox}
238 % Also of notable interest is Scott Pakin's eqparbox package for creating
239 % (automatically sized) equal width boxes - aka "natural width parboxes".
240 % Available at:
241 % http://www.ctan.org/tex-archive/macros/latex/contrib/eqparbox/
242
243
244
245
246
247 % *** SUBFIGURE PACKAGES ***
248 %\usepackage[tight,footnotesize]{subfigure}
249 % subfigure.sty was written by Steven Douglas Cochran. This package makes it
250 % easy to put subfigures in your figures. e.g., "Figure 1a and 1b". For IEEE
251 % work, it is a good idea to load it with the tight package option to reduce
252 % the amount of white space around the subfigures. subfigure.sty is already
253 % installed on most LaTeX systems. The latest version and documentation can
254 % be obtained at:
255 % http://www.ctan.org/tex-archive/obsolete/macros/latex/contrib/subfigure/
256 % subfigure.sty has been superceeded by subfig.sty.
257
258
259
260 %\usepackage[caption=false]{caption}
261 %\usepackage[font=footnotesize]{subfig}
262 % subfig.sty, also written by Steven Douglas Cochran, is the modern
263 % replacement for subfigure.sty. However, subfig.sty requires and
264 % automatically loads Axel Sommerfeldt's caption.sty which will override
265 % IEEEtran.cls handling of captions and this will result in nonIEEE style
266 % figure/table captions. To prevent this problem, be sure and preload
267 % caption.sty with its "caption=false" package option. This is will preserve
268 % IEEEtran.cls handing of captions. Version 1.3 (2005/06/28) and later 
269 % (recommended due to many improvements over 1.2) of subfig.sty supports
270 % the caption=false option directly:
271 %\usepackage[caption=false,font=footnotesize]{subfig}
272 %
273 % The latest version and documentation can be obtained at:
274 % http://www.ctan.org/tex-archive/macros/latex/contrib/subfig/
275 % The latest version and documentation of caption.sty can be obtained at:
276 % http://www.ctan.org/tex-archive/macros/latex/contrib/caption/
277
278
279
280
281 % *** FLOAT PACKAGES ***
282 %
283 %\usepackage{fixltx2e}
284 % fixltx2e, the successor to the earlier fix2col.sty, was written by
285 % Frank Mittelbach and David Carlisle. This package corrects a few problems
286 % in the LaTeX2e kernel, the most notable of which is that in current
287 % LaTeX2e releases, the ordering of single and double column floats is not
288 % guaranteed to be preserved. Thus, an unpatched LaTeX2e can allow a
289 % single column figure to be placed prior to an earlier double column
290 % figure. The latest version and documentation can be found at:
291 % http://www.ctan.org/tex-archive/macros/latex/base/
292
293
294
295 %\usepackage{stfloats}
296 % stfloats.sty was written by Sigitas Tolusis. This package gives LaTeX2e
297 % the ability to do double column floats at the bottom of the page as well
298 % as the top. (e.g., "\begin{figure*}[!b]" is not normally possible in
299 % LaTeX2e). It also provides a command:
300 %\fnbelowfloat
301 % to enable the placement of footnotes below bottom floats (the standard
302 % LaTeX2e kernel puts them above bottom floats). This is an invasive package
303 % which rewrites many portions of the LaTeX2e float routines. It may not work
304 % with other packages that modify the LaTeX2e float routines. The latest
305 % version and documentation can be obtained at:
306 % http://www.ctan.org/tex-archive/macros/latex/contrib/sttools/
307 % Documentation is contained in the stfloats.sty comments as well as in the
308 % presfull.pdf file. Do not use the stfloats baselinefloat ability as IEEE
309 % does not allow \baselineskip to stretch. Authors submitting work to the
310 % IEEE should note that IEEE rarely uses double column equations and
311 % that authors should try to avoid such use. Do not be tempted to use the
312 % cuted.sty or midfloat.sty packages (also by Sigitas Tolusis) as IEEE does
313 % not format its papers in such ways.
314
315
316
317
318
319 % *** PDF, URL AND HYPERLINK PACKAGES ***
320 %
321 %\usepackage{url}
322 % url.sty was written by Donald Arseneau. It provides better support for
323 % handling and breaking URLs. url.sty is already installed on most LaTeX
324 % systems. The latest version can be obtained at:
325 % http://www.ctan.org/tex-archive/macros/latex/contrib/misc/
326 % Read the url.sty source comments for usage information. Basically,
327 % \url{my_url_here}.
328
329
330
331
332
333 % *** Do not adjust lengths that control margins, column widths, etc. ***
334 % *** Do not use packages that alter fonts (such as pslatex).         ***
335 % There should be no need to do such things with IEEEtran.cls V1.6 and later.
336 % (Unless specifically asked to do so by the journal or conference you plan
337 % to submit to, of course. )
338
339 % correct bad hyphenation here
340 \hyphenation{op-tical net-works semi-conduc-tor}
341
342 % Macro for certain acronyms in small caps. Doesn't work with the
343 % default font, though (it contains no smallcaps it seems).
344 \def\acro#1{{\small{#1}}}
345 \def\VHDL{\acro{VHDL}}
346 \def\GHC{\acro{GHC}}
347 \def\CLaSH{{\small{C}}$\lambda$a{\small{SH}}}
348
349 % Macro for pretty printing haskell snippets. Just monospaced for now, perhaps
350 % we'll get something more complex later on.
351 \def\hs#1{\texttt{#1}}
352 \def\quote#1{``{#1}"}
353
354 \newenvironment{xlist}[1][\rule{0em}{0em}]{%
355   \begin{list}{}{%
356     \settowidth{\labelwidth}{#1:}
357     \setlength{\labelsep}{0.5em}
358     \setlength{\leftmargin}{\labelwidth}
359     \addtolength{\leftmargin}{\labelsep}
360     \addtolength{\leftmargin}{\parindent}
361     \setlength{\rightmargin}{0pt}
362     \setlength{\listparindent}{\parindent}
363     \setlength{\itemsep}{0 ex plus 0.2ex}
364     \renewcommand{\makelabel}[1]{##1:\hfil}
365     }
366   }
367 {\end{list}}
368
369 \usepackage{paralist}
370 \usepackage{xcolor}
371 \def\comment#1{{\color[rgb]{1.0,0.0,0.0}{#1}}}
372
373 \usepackage{cleveref}
374 \crefname{figure}{figure}{figures}
375 \newcommand{\fref}[1]{\cref{#1}} 
376 \newcommand{\Fref}[1]{\Cref{#1}}
377
378
379 %include polycode.fmt
380 %include clash.fmt
381
382 \begin{document}
383 %
384 % paper title
385 % can use linebreaks \\ within to get better formatting as desired
386 \title{C$\lambda$aSH: Structural Descriptions \\ of Synchronous Hardware using Haskell}
387
388
389 % author names and affiliations
390 % use a multiple column layout for up to three different
391 % affiliations
392 \author{\IEEEauthorblockN{Christiaan P.R. Baaij, Matthijs Kooijman, Jan Kuper, Marco E.T. Gerards, Bert Molenkamp, Sabih H. Gerez}
393 \IEEEauthorblockA{University of Twente, Department of EEMCS\\
394 P.O. Box 217, 7500 AE, Enschede, The Netherlands\\
395 c.p.r.baaij@@utwente.nl, matthijs@@stdin.nl, j.kuper@@utwente.nl}}
396 % \and
397 % \IEEEauthorblockN{Homer Simpson}
398 % \IEEEauthorblockA{Twentieth Century Fox\\
399 % Springfield, USA\\
400 % Email: homer@thesimpsons.com}
401 % \and
402 % \IEEEauthorblockN{James Kirk\\ and Montgomery Scott}
403 % \IEEEauthorblockA{Starfleet Academy\\
404 % San Francisco, California 96678-2391\\
405 % Telephone: (800) 555--1212\\
406 % Fax: (888) 555--1212}}
407
408 % conference papers do not typically use \thanks and this command
409 % is locked out in conference mode. If really needed, such as for
410 % the acknowledgment of grants, issue a \IEEEoverridecommandlockouts
411 % after \documentclass
412
413 % for over three affiliations, or if they all won't fit within the width
414 % of the page, use this alternative format:
415
416 %\author{\IEEEauthorblockN{Michael Shell\IEEEauthorrefmark{1},
417 %Homer Simpson\IEEEauthorrefmark{2},
418 %James Kirk\IEEEauthorrefmark{3}, 
419 %Montgomery Scott\IEEEauthorrefmark{3} and
420 %Eldon Tyrell\IEEEauthorrefmark{4}}
421 %\IEEEauthorblockA{\IEEEauthorrefmark{1}School of Electrical and Computer Engineering\\
422 %Georgia Institute of Technology,
423 %Atlanta, Georgia 30332--0250\\ Email: see http://www.michaelshell.org/contact.html}
424 %\IEEEauthorblockA{\IEEEauthorrefmark{2}Twentieth Century Fox, Springfield, USA\\
425 %Email: homer@thesimpsons.com}
426 %\IEEEauthorblockA{\IEEEauthorrefmark{3}Starfleet Academy, San Francisco, California 96678-2391\\
427 %Telephone: (800) 555--1212, Fax: (888) 555--1212}
428 %\IEEEauthorblockA{\IEEEauthorrefmark{4}Tyrell Inc., 123 Replicant Street, Los Angeles, California 90210--4321}}
429
430
431
432
433 % use for special paper notices
434 %\IEEEspecialpapernotice{(Invited Paper)}
435
436
437
438
439 % make the title area
440 \maketitle
441
442
443 \begin{abstract}
444 %\boldmath
445 \CLaSH\ is a functional hardware description language that borrows both its 
446 syntax and semantics from the functional programming language Haskell. The use of polymorphism and higher-order functions allow a circuit designer to describe more abstract and general specifications than are possible in the traditional hardware description languages.
447
448 Circuit descriptions can be translated to synthesizable VHDL using the prototype \CLaSH\ compiler. As the circuit descriptions are made in plain Haskell, simulations can also be compiled by any Haskell compiler.
449 \end{abstract}
450 % IEEEtran.cls defaults to using nonbold math in the Abstract.
451 % This preserves the distinction between vectors and scalars. However,
452 % if the conference you are submitting to favors bold math in the abstract,
453 % then you can use LaTeX's standard command \boldmath at the very start
454 % of the abstract to achieve this. Many IEEE journals/conferences frown on
455 % math in the abstract anyway.
456
457 % no keywords
458
459
460
461
462 % For peer review papers, you can put extra information on the cover
463 % page as needed:
464 % \ifCLASSOPTIONpeerreview
465 % \begin{center} \bfseries EDICS Category: 3-BBND \end{center}
466 % \fi
467 %
468 % For peerreview papers, this IEEEtran command inserts a page break and
469 % creates the second title. It will be ignored for other modes.
470 \IEEEpeerreviewmaketitle
471
472
473 \section{Introduction}
474 Hardware description languages has allowed the productivity of hardware 
475 engineers to keep pace with the development of chip technology. Standard 
476 Hardware description languages, like \VHDL~\cite{VHDL2008} and 
477 Verilog~\cite{Verilog}, allowed an engineer to describe circuits using a 
478 programming language. These standard languages are very good at describing 
479 detailed hardware properties such as timing behavior, but are generally 
480 cumbersome in expressing higher-level abstractions. In an attempt to raise the 
481 abstraction level of the descriptions, a great number of approaches based on 
482 functional languages has been proposed \cite{T-Ruby,Hydra,HML2,Hawk1,Lava,
483 ForSyDe1,Wired,reFLect}. The idea of using functional languages for hardware 
484 descriptions started in the early 1980s \cite{Cardelli1981, muFP,DAISY,FHDL}, 
485 a time which also saw the birth of the currently popular hardware description 
486 languages such as \VHDL. The merit of using a functional language to describe 
487 hardware comes from the fact that combinatorial circuits can be directly 
488 modeled as mathematical functions and that functional languages are very good 
489 at describing and composing mathematical functions.
490
491 In an attempt to decrease the amount of work involved with creating all the 
492 required tooling, such as parsers and type-checkers, many functional hardware 
493 description languages are embedded as a domain specific language inside the 
494 functional language Haskell \cite{Hydra,Hawk1,Lava,ForSyDe1,Wired}. This 
495 means that a developer is given a library of Haskell~\cite{Haskell} functions 
496 and types that together form the language primitives of the domain specific 
497 language. As a result of how the signals are modeled and abstracted, the 
498 functions used to describe a circuit also build a large domain-specific 
499 datatype (hidden from the designer) which can be further processed by an 
500 embedded compiler. This compiler actually runs in the same environment as the 
501 description; as a result compile-time and run-time become hard to define, as 
502 the embedded compiler is usually compiled by the same Haskell compiler as the 
503 circuit description itself.
504
505 The approach taken in this research is not to make another domain specific 
506 language embedded in Haskell, but to use (a subset of) the Haskell language 
507 itself for the purpose of describing hardware. By taking this approach, we can 
508 capture certain language constructs, such as Haskell's choice elements 
509 (if-constructs, case-constructs, pattern matching, etc.), which are not 
510 available in the functional hardware description languages that are embedded 
511 in Haskell as a domain specific languages. As far as the authors know, such 
512 extensive support for choice-elements is new in the domain of functional 
513 hardware description languages. As the hardware descriptions are plain Haskell 
514 functions, these descriptions can be compiled for simulation using an 
515 optimizing Haskell compiler such as the Glasgow Haskell Compiler (\GHC)~\cite{ghc}.
516
517 Where descriptions in a conventional hardware description language have an 
518 explicit clock for the purpose state and synchronicity, the clock is implied 
519 in this research. A developer describes the behavior of the hardware between 
520 clock cycles, as such, only synchronous systems can be described. Many 
521 functional hardware description model signals as a stream of all values over 
522 time; state is then modeled as a delay on this stream of values. The approach 
523 taken in this research is to make the current state of a circuit part of the 
524 input of the function and the updated state part of the output.
525
526 Like the standard hardware description languages, descriptions made in a 
527 functional hardware description language must eventually be converted into a 
528 netlist. This research also features a prototype translator called \CLaSH\ 
529 (pronounced: clash), which converts the Haskell code to equivalently behaving 
530 synthesizable \VHDL\ code, ready to be converted to an actual netlist format 
531 by an (optimizing) \VHDL\ synthesis tool.
532
533 \section{Hardware description in Haskell}
534
535   \subsection{Function application}
536     The basic syntactic elements of a functional program are functions
537     and function application. These have a single obvious translation to a 
538     netlist format: 
539     \begin{inparaenum}
540       \item every function is translated to a component, 
541       \item every function argument is translated to an input port,
542       \item the result value of a function is translated to an output port, 
543             and
544       \item function applications are translated to component instantiations.
545     \end{inparaenum} 
546     The output port can have a complex type (such as a tuple), so having just 
547     a single output port does not pose any limitation. The arguments of a 
548     function applications are assigned to a signal, which are then mapped to
549     the corresponding input ports of the component. The output port of the 
550     function is also mapped to a signal, which is used as the result of the 
551     application itself.
552
553     Since every top level function generates its own component, the
554     hierarchy of function calls is reflected in the final netlist,% aswell, 
555     creating a hierarchical description of the hardware. This separation in 
556     different components makes the resulting \VHDL\ output easier to read and 
557     debug.
558
559     As an example we can see the netlist of the |mac| function in
560     \Cref{img:mac-comb}; the |mac| function applies both the |mul| and |add|
561     function to calculate $a * b + c$:
562     
563     \begin{code}
564     mac a b c = add (mul a b) c
565     \end{code}
566     
567     \begin{figure}
568     \centerline{\includegraphics{mac}}
569     \caption{Combinatorial Multiply-Accumulate}
570     \label{img:mac-comb}
571     \end{figure}
572     
573     The result of using a complex input type can be seen in 
574     \cref{img:mac-comb-nocurry} where the |mac| function now uses a single
575     input tuple for the |a|, |b|, and |c| arguments:
576     
577     \begin{code}
578     mac (a, b, c) = add (mul a b) c
579     \end{code}
580     
581     \begin{figure}
582     \centerline{\includegraphics{mac-nocurry}}
583     \caption{Combinatorial Multiply-Accumulate (complex input)}
584     \label{img:mac-comb-nocurry}
585     \end{figure}
586
587   \subsection{Choice}
588     In Haskell, choice can be achieved by a large set of language constructs, 
589     consisting of: \hs{case} constructs, \hs{if-then-else} constructs, 
590     pattern matching, and guards. The easiest of these are the \hs{case} 
591     constructs (\hs{if} expressions can be very directly translated to 
592     \hs{case} expressions). A \hs{case} construct is translated to a 
593     multiplexer, where the control value is linked to the selection port and 
594     the  output of each case is linked to the corresponding input port on the 
595     multiplexer.
596     % A \hs{case} expression can in turn simply be translated to a conditional 
597     % assignment in \VHDL, where the conditions use equality comparisons 
598     % against the constructors in the \hs{case} expressions. 
599     We can see two versions of a contrived example below, the first 
600     using a \hs{case} construct and the other using a \hs{if-then-else} 
601     constructs, in the code below. The example sums two values when they are 
602     equal or non-equal (depending on the predicate given) and returns 0 
603     otherwise. Both versions of the example roughly correspond to the same 
604     netlist, which is depicted in \Cref{img:choice}.
605     
606     \begin{code}
607     sumif pred a b = case pred of
608       Eq ->   case a == b of
609         True    -> a + b
610         False   -> 0
611       Neq ->  case a != b of
612         True    -> a + b
613         False   -> 0
614     \end{code}
615
616     \begin{code}
617     sumif pred a b = 
618       if pred == Eq then 
619         if a == b then a + b else 0
620       else 
621         if a != b then a + b else 0
622     \end{code}
623
624     \begin{figure}
625     \centerline{\includegraphics{choice-case}}
626     \caption{Choice - sumif}
627     \label{img:choice}
628     \end{figure}
629
630     A slightly more complex (but very powerful) form of choice is pattern 
631     matching. A function can be defined in multiple clauses, where each clause 
632     specifies a pattern. When the arguments match the pattern, the 
633     corresponding clause will be used. Expressions can also contain guards, 
634     where the expression is only executed if the guard evaluates to true. Like 
635     \hs{if-then-else} constructs, pattern matching and guards have a 
636     (straightforward) translation to \hs{case} constructs and can as such be 
637     mapped to multiplexers. A third version of the earlier example, using both 
638     pattern matching and guards, can be seen below. The version using pattern 
639     matching and guards also has roughly the same netlist representation 
640     (\Cref{img:choice}) as the earlier two versions of the example.
641     
642     \begin{code}
643     sumif Eq a b    | a == b = a + b
644     sumif Neq a b   | a != b = a + b
645     sumif _ _ _     = 0
646     \end{code}
647
648     % \begin{figure}
649     % \centerline{\includegraphics{choice-ifthenelse}}
650     % \caption{Choice - \emph{if-then-else}}
651     % \label{img:choice}
652     % \end{figure}
653
654   \subsection{Types}
655     Haskell is a statically-typed language, meaning that the type of a 
656     variable or function is determined at compile-time. Not all of Haskell's 
657     typing constructs have a clear translation to hardware, as such this 
658     section will only deal with the types that do have a clear correspondence 
659     to hardware. The translatable types are divided into two categories: 
660     \emph{built-in} types and \emph{user-defined} types. Built-in types are 
661     those types for which a direct translation is defined within the \CLaSH\ 
662     compiler; the term user-defined types should not require any further 
663     elaboration. The translatable types are also inferable by the compiler, 
664     meaning that a developer does not have to annotate every function with a 
665     type signature.
666   
667     % Translation of two most basic functional concepts has been
668     % discussed: function application and choice. Before looking further
669     % into less obvious concepts like higher-order expressions and
670     % polymorphism, the possible types that can be used in hardware
671     % descriptions will be discussed.
672     % 
673     % Some way is needed to translate every value used to its hardware
674     % equivalents. In particular, this means a hardware equivalent for
675     % every \emph{type} used in a hardware description is needed.
676     % 
677     % The following types are \emph{built-in}, meaning that their hardware
678     % translation is fixed into the \CLaSH\ compiler. A designer can also
679     % define his own types, which will be translated into hardware types
680     % using translation rules that are discussed later on.
681
682   \subsubsection{Built-in types}
683     The following types have direct translation defined within the \CLaSH\
684     compiler:
685     \begin{xlist}
686       \item[\bf{Bit}]
687         This is the most basic type available. It can have two values:
688         \hs{Low} and \hs{High}. 
689         % It is mapped directly onto the \texttt{std\_logic} \VHDL\ type. 
690       \item[\bf{Bool}]
691         This is a basic logic type. It can have two values: \hs{True}
692         and \hs{False}. 
693         % It is translated to \texttt{std\_logic} exactly like the \hs{Bit} 
694         % type (where a value of \hs{True} corresponds to a value of 
695         % \hs{High}). 
696         Supporting the Bool type is required in order to support the
697         \hs{if-then-else} construct, which requires a \hs{Bool} value for 
698         the condition.
699       \item[\bf{SizedWord}, \bf{SizedInt}]
700         These are types to represent integers. A \hs{SizedWord} is unsigned,
701         while a \hs{SizedInt} is signed. Both are parametrizable in their 
702         size. 
703         % , so you can define an unsigned word of 32 bits wide as follows:
704
705         % \begin{code}
706         % type Word32 = SizedWord D32
707         % \end{code}
708
709         % Here, a type synonym \hs{Word32} is defined that is equal to the
710         % \hs{SizedWord} type constructor applied to the type \hs{D32}. 
711         % \hs{D32} is the \emph{type level representation} of the decimal 
712         % number 32, making the \hs{Word32} type a 32-bit unsigned word. These 
713         % types are translated to the \VHDL\ \texttt{unsigned} and 
714         % \texttt{signed} respectively.
715       \item[\bf{Vector}]
716         This is a vector type that can contain elements of any other type and
717         has a fixed length. The \hs{Vector} type constructor takes two type 
718         arguments: the length of the vector and the type of the elements 
719         contained in it. The short-hand notation used for the vector type in  
720         the rest of paper is: \hs{[a|n]}. Where the \hs{a} is the element 
721         type, and \hs{n} is the length of the vector.
722         % The state type of an 8 element register bank would then for example 
723         % be:
724
725         % \begin{code}
726         % type RegisterState = Vector D8 Word32
727         % \end{code}
728
729         % Here, a type synonym \hs{RegisterState} is defined that is equal to
730         % the \hs{Vector} type constructor applied to the types \hs{D8} (The 
731         % type level representation of the decimal number 8) and \hs{Word32} 
732         % (The 32 bit word type as defined above). In other words, the 
733         % \hs{RegisterState} type is a vector of 8 32-bit words. A fixed size 
734         % vector is translated to a \VHDL\ array type.
735       \item[\bf{Index}]
736         This is another type to describe integers, but unlike the previous
737         two it has no specific bit-width, but an upper bound. This means that
738         its range is not limited to powers of two, but can be any number.
739         An \hs{Index} only has an upper bound, its lower bound is
740         implicitly zero. The main purpose of the \hs{Index} type is to be 
741         used as an index to a \hs{Vector}.
742
743         % \comment{TODO: Perhaps remove this example?} To define an index for 
744         % the 8 element vector above, we would do:
745
746         % \begin{code}
747         % type RegisterIndex = RangedWord D7
748         % \end{code}
749
750         % Here, a type synonym \hs{RegisterIndex} is defined that is equal to
751         % the \hs{RangedWord} type constructor applied to the type \hs{D7}. In
752         % other words, this defines an unsigned word with values from
753         % 0 to 7 (inclusive). This word can be be used to index the
754         % 8 element vector \hs{RegisterState} above. This type is translated 
755         % to the \texttt{unsigned} \VHDL type.
756     \end{xlist}
757
758   \subsubsection{User-defined types}
759     There are three ways to define new types in Haskell: algebraic
760     data-types with the \hs{data} keyword, type synonyms with the \hs{type}
761     keyword and datatype renaming constructs with the \hs{newtype} keyword. 
762     \GHC\ offers a few more advanced ways to introduce types (type families,
763     existential typing, {\small{GADT}}s, etc.) which are not standard Haskell. 
764     As it is currently unclear how these advanced type constructs correspond 
765     with hardware, they are for now unsupported by the \CLaSH\ compiler
766
767     Only an algebraic datatype declaration actually introduces a
768     completely new type. Type synonyms and renaming constructs only define new 
769     names for existing types, where synonyms are completely interchangeable 
770     and renaming constructs need explicit conversions. Therefore, these do not 
771     need any particular translation, a synonym or renamed type will just use 
772     the same representation as the original type. For algebraic types, we can 
773     make the following distinctions: 
774
775     \begin{xlist}
776       \item[\bf{Single constructor}]
777         Algebraic datatypes with a single constructor with one or more
778         fields, are essentially a way to pack a few values together in a
779         record-like structure. Haskell's built-in tuple types are also defined 
780         as single constructor algebraic types  An example of a single 
781         constructor type is the following pair of integers:
782         \begin{code}
783         data IntPair = IntPair Int Int
784         \end{code}
785         % These types are translated to \VHDL\ record types, with one field 
786         % for every field in the constructor.
787       \item[\bf{No fields}]
788         Algebraic datatypes with multiple constructors, but without any
789         fields are essentially a way to get an enumeration-like type
790         containing alternatives. Note that Haskell's \hs{Bool} type is also 
791         defined as an enumeration type, but we have a fixed translation for 
792         that. An example of such an enum type is the type that represents the
793         colors in a traffic light:
794         \begin{code}
795         data TrafficLight = Red | Orange | Green
796         \end{code}
797         % These types are translated to \VHDL\ enumerations, with one 
798         % value for each constructor. This allows references to these 
799         % constructors to be translated to the corresponding enumeration 
800         % value.
801       \item[\bf{Multiple constructors with fields}]
802         Algebraic datatypes with multiple constructors, where at least
803         one of these constructors has one or more fields are not
804         currently supported.
805     \end{xlist}
806
807   \subsection{Polymorphism}
808     A powerful construct in most functional languages is polymorphism, it 
809     allows a function to handle values of different data types in a uniform 
810     way. Haskell supports \emph{parametric polymorphism}~\cite{polymorphism}, 
811     meaning functions can be written without mention of any specific type and 
812     can be used transparently with any number of new types.
813
814     As an example of a parametric polymorphic function, consider the type of 
815     the following \hs{append} function, which appends an element to a vector:
816     \begin{code}
817     append :: [a|n] -> a -> [a|n + 1]
818     \end{code}
819
820     This type is parameterized by \hs{a}, which can contain any type at
821     all. This means that \hs{append} can append an element to a vector,
822     regardless of the type of the elements in the list (as long as the type of 
823     the value to be added is of the same type as the values in the vector). 
824     This kind of polymorphism is extremely useful in hardware designs to make 
825     operations work on a vector without knowing exactly what elements are 
826     inside, routing signals without knowing exactly what kinds of signals 
827     these are, or working with a vector without knowing exactly how long it 
828     is. Polymorphism also plays an important role in most higher order 
829     functions, as we will see in the next section.
830
831     Another type of polymorphism is \emph{ad-hoc 
832     polymorphism}~\cite{polymorphism}, which refers to polymorphic 
833     functions which can be applied to arguments of different types, but which 
834     behave differently depending on the type of the argument to which they are 
835     applied. In Haskell, ad-hoc polymorphism is achieved through the use of 
836     type classes, where a class definition provides the general interface of a 
837     function, and class instances define the functionality for the specific 
838     types. An example of such a type class is the \hs{Num} class, which 
839     contains all of Haskell's numerical operations. A developer can make use 
840     of this ad-hoc polymorphism by adding a constraint to a parametrically 
841     polymorphic type variable. Such a constraint indicates that the type 
842     variable can only be instantiated to a type whose members supports the 
843     overloaded functions associated with the type class. 
844     
845     As an example we will take a look at type signature of the function 
846     \hs{sum}, which sums the values in a vector:
847     \begin{code}
848     sum :: Num a => [a|n] -> a
849     \end{code}
850
851     This type is again parameterized by \hs{a}, but it can only contain
852     types that are \emph{instances} of the \emph{type class} \hs{Num}, so that  
853     we know that the addition (+) operator is defined for that type. 
854     \CLaSH's built-in numerical types are also instances of the \hs{Num}
855     class, so we can use the addition operator on \hs{SizedWords} as
856     well as on \hs{SizedInts}.
857
858     In \CLaSH, parametric polymorphism is completely supported. Any function 
859     defined can have any number of unconstrained type parameters. The \CLaSH\ 
860     compiler will infer the type of every such argument depending on how the 
861     function is applied. There is one exception to this: The top level 
862     function that is translated, can not have any polymorphic arguments (as 
863     they are never applied, so there is no way to find out the actual types 
864     for the type parameters).
865
866     \CLaSH\ does not support user-defined type classes, but does use some
867     of the built-in type classes for its built-in function, such as: \hs{Num} 
868     for numerical operations, \hs{Eq} for the equality operators, and
869     \hs{Ord} for the comparison/order operators.
870
871   \subsection{Higher-order functions \& values}
872     Another powerful abstraction mechanism in functional languages, is
873     the concept of \emph{higher-order functions}, or \emph{functions as
874     a first class value}. This allows a function to be treated as a
875     value and be passed around, even as the argument of another
876     function. The following example should clarify this concept:
877     
878     \begin{code}
879     negVector xs = map not xs
880     \end{code}
881
882     The code above defines a function \hs{negVector}, which takes a vector of
883     booleans, and returns a vector where all the values are negated. It 
884     achieves this by calling the \hs{map} function, and passing it 
885     \emph{another function}, boolean negation, and the vector of booleans, 
886     \hs{xs}. The \hs{map} function applies the negation function to all the 
887     elements in the vector.
888
889     The \hs{map} function is called a higher-order function, since it takes 
890     another function as an argument. Also note that \hs{map} is again a 
891     parametric polymorphic function: It does not pose any constraints on the 
892     type of the vector elements, other than that it must be the same type as 
893     the input type of the function passed to \hs{map}. The element type of the 
894     resulting vector is equal to the return type of the function passed, which 
895     need not necessarily be the same as the element type of the input vector. 
896     All of these characteristics  can readily be inferred from the type 
897     signature belonging to \hs{map}:
898
899     \begin{code}
900     map :: (a -> b) -> [a|n] -> [b|n]
901     \end{code}
902
903     So far, only functions have been used as higher-order values. In
904     Haskell, there are two more ways to obtain a function-typed value:
905     partial application and lambda abstraction. Partial application
906     means that a function that takes multiple arguments can be applied
907     to a single argument, and the result will again be a function (but
908     that takes one argument less). As an example, consider the following
909     expression, that adds one to every element of a vector:
910
911     \begin{code}
912     map ((+) 1) xs
913     \end{code}
914
915     Here, the expression \hs{(+) 1} is the partial application of the
916     plus operator to the value \hs{1}, which is again a function that
917     adds one to its argument. A lambda expression allows one to introduce an 
918     anonymous function in any expression. Consider the following expression, 
919     which again adds one to every element of a vector:
920
921     \begin{code}
922     map (\x -> x + 1) xs
923     \end{code}
924
925     Finally, higher order arguments are not limited to just built-in
926     functions, but any function defined in \CLaSH\ can have function
927     arguments. This allows the hardware designer to use a powerful
928     abstraction mechanism in his designs and have an optimal amount of
929     code reuse.
930
931     \comment{TODO: Describe ALU example (no code)}
932
933   \subsection{State}
934     A very important concept in hardware it the concept of state. In a 
935     stateful design, the outputs depend on the history of the inputs, or the 
936     state. State is usually stored in registers, which retain their value 
937     during a clock cycle. As we want to describe more than simple 
938     combinatorial designs, \CLaSH\ needs an abstraction mechanism for state.
939
940     An important property in Haskell, and in most other functional languages, 
941     is \emph{purity}. A function is said to be \emph{pure} if it satisfies two
942     conditions:
943     \begin{inparaenum}
944       \item given the same arguments twice, it should return the same value in 
945       both cases, and
946       \item when the function is called, it should not have observable 
947       side-effects.
948     \end{inparaenum}
949     % This purity property is important for functional languages, since it 
950     % enables all kinds of mathematical reasoning that could not be guaranteed 
951     % correct for impure functions. 
952     Pure functions are as such a perfect match or a combinatorial circuit, 
953     where the output solely depends on the  inputs. When a circuit has state 
954     however, it can no longer be simply described by a pure function. 
955     % Simply removing the purity property is not a valid option, as the 
956     % language would then lose many of it mathematical properties. 
957     In an effort to include the concept of state in pure 
958     functions, the current value of the state is made an argument of the  
959     function; the updated state becomes part of the result. In this sense the
960     descriptions made in \CLaSH are the describing the combinatorial parts of 
961     a mealy machine.
962     
963     A simple example is adding an accumulator register to the earlier 
964     multiply-accumulate circuit, of which the resulting netlist can be seen in 
965     \Cref{img:mac-state}:
966     
967     \begin{code}
968     macS (State c) a b = (State c', outp)
969       where
970         outp  = mac a b c
971         c'    = outp
972     \end{code}
973     
974     \begin{figure}
975     \centerline{\includegraphics{mac-state}}
976     \caption{Stateful Multiply-Accumulate}
977     \label{img:mac-state}
978     \end{figure}
979     
980     The \hs{State} keyword indicates which arguments are part of the current 
981     state, and what part of the output is part of the updated state. This 
982     aspect will also reflected in the type signature of the function. 
983     Abstracting the state of a circuit in this way makes it very explicit: 
984     which variables  are part of the state is completely determined by the 
985     type signature. This approach to state is well suited to be used in 
986     combination with the existing code and language features, such as all the 
987     choice constructs, as state values are just normal values. We can simulate 
988     stateful descriptions using the recursive \hs{run} function:
989     
990     \begin{code}
991     run f s (i:inps) = o : (run f s' inps)
992       where
993         (s', o) = f s i
994     \end{code}
995     
996     The \hs{run} function maps a list of inputs over the function that a 
997     developer wants to simulate, passing the state to each new iteration. Each
998     value in the input list corresponds to exactly one cycle of the (implicit) 
999     clock. The result of the simulation is a list of outputs for every clock
1000     cycle. As both the \hs{run} function and the hardware description are 
1001     plain hardware, the complete simulation can be compiled by an optimizing
1002     Haskell compiler.
1003     
1004 \section{\CLaSH\ prototype}
1005
1006 foo\par bar
1007
1008 \section{Use cases}
1009 As an example of a common hardware design where the use of higher-order
1010 functions leads to a very natural description is a FIR filter, which is 
1011 basically the dot-product of two vectors:
1012
1013 \begin{equation}
1014 y_t  = \sum\nolimits_{i = 0}^{n - 1} {x_{t - i}  \cdot h_i } 
1015 \end{equation}
1016
1017 A FIR filter multiplies fixed constants ($h$) with the current 
1018 and a few previous input samples ($x$). Each of these multiplications
1019 are summed, to produce the result at time $t$. The equation of a FIR 
1020 filter is indeed equivalent to the equation of the dot-product, which is 
1021 shown below:
1022
1023 \begin{equation}
1024 \mathbf{x}\bullet\mathbf{y} = \sum\nolimits_{i = 0}^{n - 1} {x_i \cdot y_i } 
1025 \end{equation}
1026
1027 We can easily and directly implement the equation for the dot-product
1028 using higher-order functions:
1029
1030 \begin{code}
1031 xs *+* ys = foldl1 (+) (zipWith (*) xs hs)
1032 \end{code}
1033
1034 The \hs{zipWith} function is very similar to the \hs{map} function: It 
1035 takes a function, two vectors, and then applies the function to each of 
1036 the elements in the two vectors pairwise (\emph{e.g.}, \hs{zipWith (*) [1, 
1037 2] [3, 4]} becomes \hs{[1 * 3, 2 * 4]} $\equiv$ \hs{[3,8]}).
1038
1039 The \hs{foldl1} function takes a function, a single vector, and applies 
1040 the function to the first two elements of the vector. It then applies the
1041 function to the result of the first application and the next element from 
1042 the vector. This continues until the end of the vector is reached. The 
1043 result of the \hs{foldl1} function is the result of the last application.
1044 As you can see, the \hs{zipWith (*)} function is just pairwise 
1045 multiplication and the \hs{foldl1 (+)} function is just summation.
1046
1047 Returning to the actual FIR filter, we will slightly change the
1048 equation belong to it, so as to make the translation to code more obvious.
1049 What we will do is change the definition of the vector of input samples.
1050 So, instead of having the input sample received at time
1051 $t$ stored in $x_t$, $x_0$ now always stores the current sample, and $x_i$
1052 stores the $ith$ previous sample. This changes the equation to the
1053 following (Note that this is completely equivalent to the original
1054 equation, just with a different definition of $x$ that will better suit
1055 the transformation to code):
1056
1057 \begin{equation}
1058 y_t  = \sum\nolimits_{i = 0}^{n - 1} {x_i  \cdot h_i } 
1059 \end{equation}
1060
1061 Consider that the vector \hs{hs} contains the FIR coefficients and the 
1062 vector \hs{xs} contains the current input sample in front and older 
1063 samples behind. The function that shifts the input samples is shown below:
1064
1065 \begin{code}
1066 x >> xs = x +> tail xs  
1067 \end{code}
1068
1069 Where the \hs{tail} function returns all but the first element of a 
1070 vector, and the concatenate operator ($\succ$) adds a new element to the 
1071 left of a vector. The complete definition of the FIR filter then becomes:
1072
1073 \begin{code}
1074 fir (State (xs,hs)) x = (State (x >> xs,hs), xs *+* hs)
1075 \end{code}
1076
1077 The resulting netlist of a 4-taps FIR filter based on the above definition
1078 is depicted in \Cref{img:4tapfir}.
1079
1080 \begin{figure}
1081 \centerline{\includegraphics{4tapfir}}
1082 \caption{4-taps FIR Filter}
1083 \label{img:4tapfir}
1084 \end{figure}
1085
1086 \section{Related work}
1087 Many functional hardware description languages have been developed over the 
1088 years. Early work includes such languages as $\mu$\acro{FP}~\cite{muFP}, an 
1089 extension of Backus' \acro{FP} language to synchronous streams, designed 
1090 particularly for describing and reasoning about regular circuits. The 
1091 Ruby~\cite{Ruby} language uses relations, instead of functions, to describe 
1092 circuits, and has a particular focus on layout. 
1093
1094 \acro{HML}~\cite{HML2} is a hardware modeling language based on the strict 
1095 functional language \acro{ML}, and has support for polymorphic types and 
1096 higher-order functions. Published work suggests that there is no direct 
1097 simulation support for \acro{HML}, but that a description in \acro{HML} has to 
1098 be translated to \VHDL\ and that the translated description can than be 
1099 simulated in a \VHDL\ simulator. Also not all of the mentioned language 
1100 features of \acro{HML} could be translated to hardware. The \CLaSH\ compiler 
1101 on the other hand can correctly translate all of the language constructs 
1102 mentioned in this paper to a netlist format.
1103
1104 Like this work, many functional hardware description languages have some sort 
1105 of foundation in the functional programming language Haskell. 
1106 Hawk~\cite{Hawk1} uses Haskell to describe system-level executable 
1107 specifications used to model the behavior of superscalar microprocessors. Hawk 
1108 specifications can be simulated, but there seems to be no support for 
1109 automated circuit synthesis. 
1110
1111 The ForSyDe~\cite{ForSyDe2} system uses Haskell to specify abstract system 
1112 models, which can (manually) be transformed into an implementation model using 
1113 semantic preserving transformations. ForSyDe has several simulation and 
1114 synthesis backends, though synthesis is restricted to the synchronous subset 
1115 of the ForSyDe language.
1116
1117 Lava~\cite{Lava} is a hardware description language that focuses on the 
1118 structural representation of hardware. Besides support for simulation and 
1119 circuit synthesis, Lava descriptions can be interfaced with formal method 
1120 tools for formal verification. Lava descriptions are actually circuit 
1121 generators when viewed from a synthesis viewpoint, in that the language 
1122 elements of Haskell, such as choice, can be used to guide the circuit 
1123 generation. If a developer wants to insert a choice element inside an actual 
1124 circuit he will have to specify this explicitly as a component. In this 
1125 respect \CLaSH\ differs from Lava, in that all the choice elements, such as 
1126 case-statements and pattern matching, are synthesized to choice elements in the 
1127 eventual circuit. As such, richer control structures can both be specified and 
1128 synthesized in \CLaSH\ compared to any of the languages mentioned in this 
1129 section.
1130
1131 The merits of polymorphic typing, combined with higher-order functions, are 
1132 now also recognized in the `main-stream' hardware description languages, 
1133 exemplified by the new \VHDL-2008 standard~\cite{VHDL2008}. \VHDL-2008 has 
1134 support to specify types as generics, thus allowing a developer to describe 
1135 polymorphic components. Note that those types still require an explicit 
1136 generic map, whereas type-inference and type-specialization are implicit in 
1137 \CLaSH.
1138
1139 % Wired~\cite{Wired},, T-Ruby~\cite{T-Ruby}, Hydra~\cite{Hydra}. 
1140
1141 % A functional language designed specifically for hardware design is 
1142 % $re{\mathit{FL}}^{ect}$~\cite{reFLect}, which draws experience from earlier 
1143 % language called \acro{FL}~\cite{FL} to la
1144
1145 % An example of a floating figure using the graphicx package.
1146 % Note that \label must occur AFTER (or within) \caption.
1147 % For figures, \caption should occur after the \includegraphics.
1148 % Note that IEEEtran v1.7 and later has special internal code that
1149 % is designed to preserve the operation of \label within \caption
1150 % even when the captionsoff option is in effect. However, because
1151 % of issues like this, it may be the safest practice to put all your
1152 % \label just after \caption rather than within \caption{}.
1153 %
1154 % Reminder: the "draftcls" or "draftclsnofoot", not "draft", class
1155 % option should be used if it is desired that the figures are to be
1156 % displayed while in draft mode.
1157 %
1158 %\begin{figure}[!t]
1159 %\centering
1160 %\includegraphics[width=2.5in]{myfigure}
1161 % where an .eps filename suffix will be assumed under latex, 
1162 % and a .pdf suffix will be assumed for pdflatex; or what has been declared
1163 % via \DeclareGraphicsExtensions.
1164 %\caption{Simulation Results}
1165 %\label{fig_sim}
1166 %\end{figure}
1167
1168 % Note that IEEE typically puts floats only at the top, even when this
1169 % results in a large percentage of a column being occupied by floats.
1170
1171
1172 % An example of a double column floating figure using two subfigures.
1173 % (The subfig.sty package must be loaded for this to work.)
1174 % The subfigure \label commands are set within each subfloat command, the
1175 % \label for the overall figure must come after \caption.
1176 % \hfil must be used as a separator to get equal spacing.
1177 % The subfigure.sty package works much the same way, except \subfigure is
1178 % used instead of \subfloat.
1179 %
1180 %\begin{figure*}[!t]
1181 %\centerline{\subfloat[Case I]\includegraphics[width=2.5in]{subfigcase1}%
1182 %\label{fig_first_case}}
1183 %\hfil
1184 %\subfloat[Case II]{\includegraphics[width=2.5in]{subfigcase2}%
1185 %\label{fig_second_case}}}
1186 %\caption{Simulation results}
1187 %\label{fig_sim}
1188 %\end{figure*}
1189 %
1190 % Note that often IEEE papers with subfigures do not employ subfigure
1191 % captions (using the optional argument to \subfloat), but instead will
1192 % reference/describe all of them (a), (b), etc., within the main caption.
1193
1194
1195 % An example of a floating table. Note that, for IEEE style tables, the 
1196 % \caption command should come BEFORE the table. Table text will default to
1197 % \footnotesize as IEEE normally uses this smaller font for tables.
1198 % The \label must come after \caption as always.
1199 %
1200 %\begin{table}[!t]
1201 %% increase table row spacing, adjust to taste
1202 %\renewcommand{\arraystretch}{1.3}
1203 % if using array.sty, it might be a good idea to tweak the value of
1204 % \extrarowheight as needed to properly center the text within the cells
1205 %\caption{An Example of a Table}
1206 %\label{table_example}
1207 %\centering
1208 %% Some packages, such as MDW tools, offer better commands for making tables
1209 %% than the plain LaTeX2e tabular which is used here.
1210 %\begin{tabular}{|c||c|}
1211 %\hline
1212 %One & Two\\
1213 %\hline
1214 %Three & Four\\
1215 %\hline
1216 %\end{tabular}
1217 %\end{table}
1218
1219
1220 % Note that IEEE does not put floats in the very first column - or typically
1221 % anywhere on the first page for that matter. Also, in-text middle ("here")
1222 % positioning is not used. Most IEEE journals/conferences use top floats
1223 % exclusively. Note that, LaTeX2e, unlike IEEE journals/conferences, places
1224 % footnotes above bottom floats. This can be corrected via the \fnbelowfloat
1225 % command of the stfloats package.
1226
1227
1228
1229 \section{Conclusion}
1230 The conclusion goes here.
1231
1232
1233
1234
1235 % conference papers do not normally have an appendix
1236
1237
1238 % use section* for acknowledgement
1239 \section*{Acknowledgment}
1240
1241
1242 The authors would like to thank...
1243
1244
1245
1246
1247
1248 % trigger a \newpage just before the given reference
1249 % number - used to balance the columns on the last page
1250 % adjust value as needed - may need to be readjusted if
1251 % the document is modified later
1252 %\IEEEtriggeratref{8}
1253 % The "triggered" command can be changed if desired:
1254 %\IEEEtriggercmd{\enlargethispage{-5in}}
1255
1256 % references section
1257
1258 % can use a bibliography generated by BibTeX as a .bbl file
1259 % BibTeX documentation can be easily obtained at:
1260 % http://www.ctan.org/tex-archive/biblio/bibtex/contrib/doc/
1261 % The IEEEtran BibTeX style support page is at:
1262 % http://www.michaelshell.org/tex/ieeetran/bibtex/
1263 \bibliographystyle{IEEEtran}
1264 % argument is your BibTeX string definitions and bibliography database(s)
1265 \bibliography{IEEEabrv,clash.bib}
1266 %
1267 % <OR> manually copy in the resultant .bbl file
1268 % set second argument of \begin to the number of references
1269 % (used to reserve space for the reference number labels box)
1270 % \begin{thebibliography}{1}
1271
1272 % \bibitem{IEEEhowto:kopka}
1273 % H.~Kopka and P.~W. Daly, \emph{A Guide to \LaTeX}, 3rd~ed.\hskip 1em plus
1274 %   0.5em minus 0.4em\relax Harlow, England: Addison-Wesley, 1999.
1275
1276 % \end{thebibliography}
1277
1278
1279
1280
1281 % that's all folks
1282 \end{document}
1283
1284 % vim: set ai sw=2 sts=2 expandtab: