8452e4bd423815a66d64bfaf9530f3735276ef72
[matthijs/master-project/dsd-paper.git] / cλash.lhs
1
2 %% bare_conf.tex
3 %% V1.3
4 %% 2007/01/11
5 %% by Michael Shell
6 %% See:
7 %% http://www.michaelshell.org/
8 %% for current contact information.
9 %%
10 %% This is a skeleton file demonstrating the use of IEEEtran.cls
11 %% (requires IEEEtran.cls version 1.7 or later) with an IEEE conference paper.
12 %%
13 %% Support sites:
14 %% http://www.michaelshell.org/tex/ieeetran/
15 %% http://www.ctan.org/tex-archive/macros/latex/contrib/IEEEtran/
16 %% and
17 %% http://www.ieee.org/
18
19 %%*************************************************************************
20 %% Legal Notice:
21 %% This code is offered as-is without any warranty either expressed or
22 %% implied; without even the implied warranty of MERCHANTABILITY or
23 %% FITNESS FOR A PARTICULAR PURPOSE! 
24 %% User assumes all risk.
25 %% In no event shall IEEE or any contributor to this code be liable for
26 %% any damages or losses, including, but not limited to, incidental,
27 %% consequential, or any other damages, resulting from the use or misuse
28 %% of any information contained here.
29 %%
30 %% All comments are the opinions of their respective authors and are not
31 %% necessarily endorsed by the IEEE.
32 %%
33 %% This work is distributed under the LaTeX Project Public License (LPPL)
34 %% ( http://www.latex-project.org/ ) version 1.3, and may be freely used,
35 %% distributed and modified. A copy of the LPPL, version 1.3, is included
36 %% in the base LaTeX documentation of all distributions of LaTeX released
37 %% 2003/12/01 or later.
38 %% Retain all contribution notices and credits.
39 %% ** Modified files should be clearly indicated as such, including  **
40 %% ** renaming them and changing author support contact information. **
41 %%
42 %% File list of work: IEEEtran.cls, IEEEtran_HOWTO.pdf, bare_adv.tex,
43 %%                    bare_conf.tex, bare_jrnl.tex, bare_jrnl_compsoc.tex
44 %%*************************************************************************
45
46 % *** Authors should verify (and, if needed, correct) their LaTeX system  ***
47 % *** with the testflow diagnostic prior to trusting their LaTeX platform ***
48 % *** with production work. IEEE's font choices can trigger bugs that do  ***
49 % *** not appear when using other class files.                            ***
50 % The testflow support page is at:
51 % http://www.michaelshell.org/tex/testflow/
52
53
54
55 % Note that the a4paper option is mainly intended so that authors in
56 % countries using A4 can easily print to A4 and see how their papers will
57 % look in print - the typesetting of the document will not typically be
58 % affected with changes in paper size (but the bottom and side margins will).
59 % Use the testflow package mentioned above to verify correct handling of
60 % both paper sizes by the user's LaTeX system.
61 %
62 % Also note that the "draftcls" or "draftclsnofoot", not "draft", option
63 % should be used if it is desired that the figures are to be displayed in
64 % draft mode.
65 %
66
67 \documentclass[conference,pdf,a4paper,10pt,final,twoside,twocolumn]{IEEEtran}
68 % Add the compsoc option for Computer Society conferences.
69 %
70 % If IEEEtran.cls has not been installed into the LaTeX system files,
71 % manually specify the path to it like:
72 % \documentclass[conference]{../sty/IEEEtran}
73
74 % Some very useful LaTeX packages include:
75 % (uncomment the ones you want to load)
76
77 % *** MISC UTILITY PACKAGES ***
78 %
79 %\usepackage{ifpdf}
80 % Heiko Oberdiek's ifpdf.sty is very useful if you need conditional
81 % compilation based on whether the output is pdf or dvi.
82 % usage:
83 % \ifpdf
84 %   % pdf code
85 % \else
86 %   % dvi code
87 % \fi
88 % The latest version of ifpdf.sty can be obtained from:
89 % http://www.ctan.org/tex-archive/macros/latex/contrib/oberdiek/
90 % Also, note that IEEEtran.cls V1.7 and later provides a builtin
91 % \ifCLASSINFOpdf conditional that works the same way.
92 % When switching from latex to pdflatex and vice-versa, the compiler may
93 % have to be run twice to clear warning/error messages.
94
95
96
97 % *** CITATION PACKAGES ***
98 %
99 \usepackage{cite}
100 % cite.sty was written by Donald Arseneau
101 % V1.6 and later of IEEEtran pre-defines the format of the cite.sty package
102 % \cite{} output to follow that of IEEE. Loading the cite package will
103 % result in citation numbers being automatically sorted and properly
104 % "compressed/ranged". e.g., [1], [9], [2], [7], [5], [6] without using
105 % cite.sty will become [1], [2], [5]--[7], [9] using cite.sty. cite.sty's
106 % \cite will automatically add leading space, if needed. Use cite.sty's
107 % noadjust option (cite.sty V3.8 and later) if you want to turn this off.
108 % cite.sty is already installed on most LaTeX systems. Be sure and use
109 % version 4.0 (2003-05-27) and later if using hyperref.sty. cite.sty does
110 % not currently provide for hyperlinked citations.
111 % The latest version can be obtained at:
112 % http://www.ctan.org/tex-archive/macros/latex/contrib/cite/
113 % The documentation is contained in the cite.sty file itself.
114
115
116
117
118
119
120 % *** GRAPHICS RELATED PACKAGES ***
121 %
122 \ifCLASSINFOpdf
123   \usepackage[pdftex]{graphicx}
124   % declare the path(s) where your graphic files are
125   % \graphicspath{{../pdf/}{../jpeg/}}
126   % and their extensions so you won't have to specify these with
127   % every instance of \includegraphics
128   % \DeclareGraphicsExtensions{.pdf,.jpeg,.png}
129 \else
130   % or other class option (dvipsone, dvipdf, if not using dvips). graphicx
131   % will default to the driver specified in the system graphics.cfg if no
132   % driver is specified.
133   % \usepackage[dvips]{graphicx}
134   % declare the path(s) where your graphic files are
135   % \graphicspath{{../eps/}}
136   % and their extensions so you won't have to specify these with
137   % every instance of \includegraphics
138   % \DeclareGraphicsExtensions{.eps}
139 \fi
140 % graphicx was written by David Carlisle and Sebastian Rahtz. It is
141 % required if you want graphics, photos, etc. graphicx.sty is already
142 % installed on most LaTeX systems. The latest version and documentation can
143 % be obtained at: 
144 % http://www.ctan.org/tex-archive/macros/latex/required/graphics/
145 % Another good source of documentation is "Using Imported Graphics in
146 % LaTeX2e" by Keith Reckdahl which can be found as epslatex.ps or
147 % epslatex.pdf at: http://www.ctan.org/tex-archive/info/
148 %
149 % latex, and pdflatex in dvi mode, support graphics in encapsulated
150 % postscript (.eps) format. pdflatex in pdf mode supports graphics
151 % in .pdf, .jpeg, .png and .mps (metapost) formats. Users should ensure
152 % that all non-photo figures use a vector format (.eps, .pdf, .mps) and
153 % not a bitmapped formats (.jpeg, .png). IEEE frowns on bitmapped formats
154 % which can result in "jaggedy"/blurry rendering of lines and letters as
155 % well as large increases in file sizes.
156 %
157 % You can find documentation about the pdfTeX application at:
158 % http://www.tug.org/applications/pdftex
159
160
161
162
163
164 % *** MATH PACKAGES ***
165 %
166 %\usepackage[cmex10]{amsmath}
167 % A popular package from the American Mathematical Society that provides
168 % many useful and powerful commands for dealing with mathematics. If using
169 % it, be sure to load this package with the cmex10 option to ensure that
170 % only type 1 fonts will utilized at all point sizes. Without this option,
171 % it is possible that some math symbols, particularly those within
172 % footnotes, will be rendered in bitmap form which will result in a
173 % document that can not be IEEE Xplore compliant!
174 %
175 % Also, note that the amsmath package sets \interdisplaylinepenalty to 10000
176 % thus preventing page breaks from occurring within multiline equations. Use:
177 %\interdisplaylinepenalty=2500
178 % after loading amsmath to restore such page breaks as IEEEtran.cls normally
179 % does. amsmath.sty is already installed on most LaTeX systems. The latest
180 % version and documentation can be obtained at:
181 % http://www.ctan.org/tex-archive/macros/latex/required/amslatex/math/
182
183
184
185
186
187 % *** SPECIALIZED LIST PACKAGES ***
188 %
189 %\usepackage{algorithmic}
190 % algorithmic.sty was written by Peter Williams and Rogerio Brito.
191 % This package provides an algorithmic environment fo describing algorithms.
192 % You can use the algorithmic environment in-text or within a figure
193 % environment to provide for a floating algorithm. Do NOT use the algorithm
194 % floating environment provided by algorithm.sty (by the same authors) or
195 % algorithm2e.sty (by Christophe Fiorio) as IEEE does not use dedicated
196 % algorithm float types and packages that provide these will not provide
197 % correct IEEE style captions. The latest version and documentation of
198 % algorithmic.sty can be obtained at:
199 % http://www.ctan.org/tex-archive/macros/latex/contrib/algorithms/
200 % There is also a support site at:
201 % http://algorithms.berlios.de/index.html
202 % Also of interest may be the (relatively newer and more customizable)
203 % algorithmicx.sty package by Szasz Janos:
204 % http://www.ctan.org/tex-archive/macros/latex/contrib/algorithmicx/
205
206
207
208
209 % *** ALIGNMENT PACKAGES ***
210 %
211 %\usepackage{array}
212 % Frank Mittelbach's and David Carlisle's array.sty patches and improves
213 % the standard LaTeX2e array and tabular environments to provide better
214 % appearance and additional user controls. As the default LaTeX2e table
215 % generation code is lacking to the point of almost being broken with
216 % respect to the quality of the end results, all users are strongly
217 % advised to use an enhanced (at the very least that provided by array.sty)
218 % set of table tools. array.sty is already installed on most systems. The
219 % latest version and documentation can be obtained at:
220 % http://www.ctan.org/tex-archive/macros/latex/required/tools/
221
222
223 %\usepackage{mdwmath}
224 %\usepackage{mdwtab}
225 % Also highly recommended is Mark Wooding's extremely powerful MDW tools,
226 % especially mdwmath.sty and mdwtab.sty which are used to format equations
227 % and tables, respectively. The MDWtools set is already installed on most
228 % LaTeX systems. The lastest version and documentation is available at:
229 % http://www.ctan.org/tex-archive/macros/latex/contrib/mdwtools/
230
231
232 % IEEEtran contains the IEEEeqnarray family of commands that can be used to
233 % generate multiline equations as well as matrices, tables, etc., of high
234 % quality.
235
236
237 %\usepackage{eqparbox}
238 % Also of notable interest is Scott Pakin's eqparbox package for creating
239 % (automatically sized) equal width boxes - aka "natural width parboxes".
240 % Available at:
241 % http://www.ctan.org/tex-archive/macros/latex/contrib/eqparbox/
242
243
244
245
246
247 % *** SUBFIGURE PACKAGES ***
248 %\usepackage[tight,footnotesize]{subfigure}
249 % subfigure.sty was written by Steven Douglas Cochran. This package makes it
250 % easy to put subfigures in your figures. e.g., "Figure 1a and 1b". For IEEE
251 % work, it is a good idea to load it with the tight package option to reduce
252 % the amount of white space around the subfigures. subfigure.sty is already
253 % installed on most LaTeX systems. The latest version and documentation can
254 % be obtained at:
255 % http://www.ctan.org/tex-archive/obsolete/macros/latex/contrib/subfigure/
256 % subfigure.sty has been superceeded by subfig.sty.
257
258
259
260 %\usepackage[caption=false]{caption}
261 %\usepackage[font=footnotesize]{subfig}
262 % subfig.sty, also written by Steven Douglas Cochran, is the modern
263 % replacement for subfigure.sty. However, subfig.sty requires and
264 % automatically loads Axel Sommerfeldt's caption.sty which will override
265 % IEEEtran.cls handling of captions and this will result in nonIEEE style
266 % figure/table captions. To prevent this problem, be sure and preload
267 % caption.sty with its "caption=false" package option. This is will preserve
268 % IEEEtran.cls handing of captions. Version 1.3 (2005/06/28) and later 
269 % (recommended due to many improvements over 1.2) of subfig.sty supports
270 % the caption=false option directly:
271 %\usepackage[caption=false,font=footnotesize]{subfig}
272 %
273 % The latest version and documentation can be obtained at:
274 % http://www.ctan.org/tex-archive/macros/latex/contrib/subfig/
275 % The latest version and documentation of caption.sty can be obtained at:
276 % http://www.ctan.org/tex-archive/macros/latex/contrib/caption/
277
278
279
280
281 % *** FLOAT PACKAGES ***
282 %
283 %\usepackage{fixltx2e}
284 % fixltx2e, the successor to the earlier fix2col.sty, was written by
285 % Frank Mittelbach and David Carlisle. This package corrects a few problems
286 % in the LaTeX2e kernel, the most notable of which is that in current
287 % LaTeX2e releases, the ordering of single and double column floats is not
288 % guaranteed to be preserved. Thus, an unpatched LaTeX2e can allow a
289 % single column figure to be placed prior to an earlier double column
290 % figure. The latest version and documentation can be found at:
291 % http://www.ctan.org/tex-archive/macros/latex/base/
292
293
294
295 %\usepackage{stfloats}
296 % stfloats.sty was written by Sigitas Tolusis. This package gives LaTeX2e
297 % the ability to do double column floats at the bottom of the page as well
298 % as the top. (e.g., "\begin{figure*}[!b]" is not normally possible in
299 % LaTeX2e). It also provides a command:
300 %\fnbelowfloat
301 % to enable the placement of footnotes below bottom floats (the standard
302 % LaTeX2e kernel puts them above bottom floats). This is an invasive package
303 % which rewrites many portions of the LaTeX2e float routines. It may not work
304 % with other packages that modify the LaTeX2e float routines. The latest
305 % version and documentation can be obtained at:
306 % http://www.ctan.org/tex-archive/macros/latex/contrib/sttools/
307 % Documentation is contained in the stfloats.sty comments as well as in the
308 % presfull.pdf file. Do not use the stfloats baselinefloat ability as IEEE
309 % does not allow \baselineskip to stretch. Authors submitting work to the
310 % IEEE should note that IEEE rarely uses double column equations and
311 % that authors should try to avoid such use. Do not be tempted to use the
312 % cuted.sty or midfloat.sty packages (also by Sigitas Tolusis) as IEEE does
313 % not format its papers in such ways.
314
315
316
317
318
319 % *** PDF, URL AND HYPERLINK PACKAGES ***
320 %
321 %\usepackage{url}
322 % url.sty was written by Donald Arseneau. It provides better support for
323 % handling and breaking URLs. url.sty is already installed on most LaTeX
324 % systems. The latest version can be obtained at:
325 % http://www.ctan.org/tex-archive/macros/latex/contrib/misc/
326 % Read the url.sty source comments for usage information. Basically,
327 % \url{my_url_here}.
328
329
330
331
332
333 % *** Do not adjust lengths that control margins, column widths, etc. ***
334 % *** Do not use packages that alter fonts (such as pslatex).         ***
335 % There should be no need to do such things with IEEEtran.cls V1.6 and later.
336 % (Unless specifically asked to do so by the journal or conference you plan
337 % to submit to, of course. )
338
339 % correct bad hyphenation here
340 \hyphenation{op-tical net-works semi-conduc-tor}
341
342 % Macro for certain acronyms in small caps. Doesn't work with the
343 % default font, though (it contains no smallcaps it seems).
344 \def\acro#1{{\small{#1}}}
345 \def\acrotiny#1{{\scriptsize{#1}}}
346 \def\VHDL{\acro{VHDL}}
347 \def\GHC{\acro{GHC}}
348 \def\CLaSH{{\small{C}}$\lambda$a{\small{SH}}}
349 \def\CLaSHtiny{{\scriptsize{C}}$\lambda$a{\scriptsize{SH}}}
350
351 % Macro for pretty printing haskell snippets. Just monospaced for now, perhaps
352 % we'll get something more complex later on.
353 \def\hs#1{\texttt{#1}}
354 \def\quote#1{``{#1}"}
355
356 \newenvironment{xlist}[1][\rule{0em}{0em}]{%
357   \begin{list}{}{%
358     \settowidth{\labelwidth}{#1:}
359     \setlength{\labelsep}{0.5em}
360     \setlength{\leftmargin}{\labelwidth}
361     \addtolength{\leftmargin}{\labelsep}
362     \addtolength{\leftmargin}{\parindent}
363     \setlength{\rightmargin}{0pt}
364     \setlength{\listparindent}{\parindent}
365     \setlength{\itemsep}{0 ex plus 0.2ex}
366     \renewcommand{\makelabel}[1]{##1:\hfil}
367     }
368   }
369 {\end{list}}
370
371 \usepackage{paralist}
372 \usepackage{xcolor}
373 \def\comment#1{{\color[rgb]{1.0,0.0,0.0}{#1}}}
374
375 \usepackage{cleveref}
376 \crefname{figure}{figure}{figures}
377 \newcommand{\fref}[1]{\cref{#1}} 
378 \newcommand{\Fref}[1]{\Cref{#1}}
379
380 \usepackage{epstopdf}
381
382 \epstopdfDeclareGraphicsRule{.svg}{pdf}{.pdf}{rsvg-convert --format=pdf < #1 > \noexpand\OutputFile}
383
384 %include polycode.fmt
385 %include clash.fmt
386
387 \begin{document}
388 %
389 % paper title
390 % can use linebreaks \\ within to get better formatting as desired
391 \title{C$\lambda$aSH: Structural Descriptions \\ of Synchronous Hardware using Haskell}
392
393
394 % author names and affiliations
395 % use a multiple column layout for up to three different
396 % affiliations
397 \author{\IEEEauthorblockN{Christiaan P.R. Baaij, Matthijs Kooijman, Jan Kuper, Marco E.T. Gerards}%, Bert Molenkamp, Sabih H. Gerez}
398 \IEEEauthorblockA{%Computer Architecture for Embedded Systems (CAES)\\ 
399 Department of EEMCS, University of Twente\\
400 P.O. Box 217, 7500 AE, Enschede, The Netherlands\\
401 c.p.r.baaij@@utwente.nl, matthijs@@stdin.nl, j.kuper@@utwente.nl}}
402 % \and
403 % \IEEEauthorblockN{Homer Simpson}
404 % \IEEEauthorblockA{Twentieth Century Fox\\
405 % Springfield, USA\\
406 % Email: homer@thesimpsons.com}
407 % \and
408 % \IEEEauthorblockN{James Kirk\\ and Montgomery Scott}
409 % \IEEEauthorblockA{Starfleet Academy\\
410 % San Francisco, California 96678-2391\\
411 % Telephone: (800) 555--1212\\
412 % Fax: (888) 555--1212}}
413
414 % conference papers do not typically use \thanks and this command
415 % is locked out in conference mode. If really needed, such as for
416 % the acknowledgment of grants, issue a \IEEEoverridecommandlockouts
417 % after \documentclass
418
419 % for over three affiliations, or if they all won't fit within the width
420 % of the page, use this alternative format:
421
422 %\author{\IEEEauthorblockN{Michael Shell\IEEEauthorrefmark{1},
423 %Homer Simpson\IEEEauthorrefmark{2},
424 %James Kirk\IEEEauthorrefmark{3}, 
425 %Montgomery Scott\IEEEauthorrefmark{3} and
426 %Eldon Tyrell\IEEEauthorrefmark{4}}
427 %\IEEEauthorblockA{\IEEEauthorrefmark{1}School of Electrical and Computer Engineering\\
428 %Georgia Institute of Technology,
429 %Atlanta, Georgia 30332--0250\\ Email: see http://www.michaelshell.org/contact.html}
430 %\IEEEauthorblockA{\IEEEauthorrefmark{2}Twentieth Century Fox, Springfield, USA\\
431 %Email: homer@thesimpsons.com}
432 %\IEEEauthorblockA{\IEEEauthorrefmark{3}Starfleet Academy, San Francisco, California 96678-2391\\
433 %Telephone: (800) 555--1212, Fax: (888) 555--1212}
434 %\IEEEauthorblockA{\IEEEauthorrefmark{4}Tyrell Inc., 123 Replicant Street, Los Angeles, California 90210--4321}}
435
436
437
438
439 % use for special paper notices
440 %\IEEEspecialpapernotice{(Invited Paper)}
441
442
443
444
445 % make the title area
446 \maketitle
447
448
449 \begin{abstract}
450 %\boldmath
451 \CLaSH\ is a functional hardware description language that borrows both its 
452 syntax and semantics from the functional programming language Haskell. Circuit 
453 descriptions can be translated to synthesizable VHDL using the prototype 
454 \CLaSH\ compiler. As the circuit descriptions are made in plain Haskell, 
455 simulations can also be compiled by a Haskell compiler.
456
457 The use of polymorphism and higher-order functions allow a circuit designer to 
458 describe more abstract and general specifications than are possible in the 
459 traditional hardware description languages.
460 \end{abstract}
461 % IEEEtran.cls defaults to using nonbold math in the Abstract.
462 % This preserves the distinction between vectors and scalars. However,
463 % if the conference you are submitting to favors bold math in the abstract,
464 % then you can use LaTeX's standard command \boldmath at the very start
465 % of the abstract to achieve this. Many IEEE journals/conferences frown on
466 % math in the abstract anyway.
467
468 % no keywords
469
470
471
472
473 % For peer review papers, you can put extra information on the cover
474 % page as needed:
475 % \ifCLASSOPTIONpeerreview
476 % \begin{center} \bfseries EDICS Category: 3-BBND \end{center}
477 % \fi
478 %
479 % For peerreview papers, this IEEEtran command inserts a page break and
480 % creates the second title. It will be ignored for other modes.
481 \IEEEpeerreviewmaketitle
482
483
484 \section{Introduction}
485 Hardware description languages have allowed the productivity of hardware 
486 engineers to keep pace with the development of chip technology. Standard 
487 Hardware description languages, like \VHDL~\cite{VHDL2008} and 
488 Verilog~\cite{Verilog}, allowed an engineer to describe circuits using a 
489 programming language. These standard languages are very good at describing 
490 detailed hardware properties such as timing behavior, but are generally 
491 cumbersome in expressing higher-level abstractions. In an attempt to raise the 
492 abstraction level of the descriptions, a great number of approaches based on 
493 functional languages has been proposed \cite{T-Ruby,Hydra,HML2,Hawk1,Lava,
494 ForSyDe1,Wired,reFLect}. The idea of using functional languages for hardware 
495 descriptions started in the early 1980s \cite{Cardelli1981, muFP,DAISY,FHDL}, 
496 a time which also saw the birth of the currently popular hardware description 
497 languages such as \VHDL. The merit of using a functional language to describe 
498 hardware comes from the fact that combinatorial circuits can be directly 
499 modeled as mathematical functions and that functional languages are very good 
500 at describing and composing mathematical functions.
501
502 In an attempt to decrease the amount of work involved with creating all the 
503 required tooling, such as parsers and type-checkers, many functional hardware 
504 description languages are embedded as a domain specific language inside the 
505 functional language Haskell \cite{Hydra,Hawk1,Lava,ForSyDe1,Wired}. This 
506 means that a developer is given a library of Haskell~\cite{Haskell} functions 
507 and types that together form the language primitives of the domain specific 
508 language. As a result of how the signals are modeled and abstracted, the 
509 functions used to describe a circuit also build a large domain-specific 
510 datatype (hidden from the designer) which can then be processed further by an 
511 embedded compiler. This compiler actually runs in the same environment as the 
512 description; as a result compile-time and run-time become hard to define, as 
513 the embedded compiler is usually compiled by the same Haskell compiler as the 
514 circuit description itself.
515
516 The approach taken in this research is not to make another domain specific 
517 language embedded in Haskell, but to use (a subset of) the Haskell language 
518 itself for the purpose of describing hardware. By taking this approach, we can 
519 capture certain language constructs, such as Haskell's choice elements 
520 (if-constructs, case-constructs, pattern matching, etc.), which are not 
521 available in the functional hardware description languages that are embedded 
522 in Haskell as a domain specific language. As far as the authors know, such 
523 extensive support for choice-elements is new in the domain of functional 
524 hardware description languages. As the hardware descriptions are plain Haskell 
525 functions, these descriptions can be compiled for simulation using an 
526 optimizing Haskell compiler such as the Glasgow Haskell Compiler (\GHC)~\cite{ghc}.
527
528 Where descriptions in a conventional hardware description language have an 
529 explicit clock for the purpose state and synchronicity, the clock is implied 
530 in this research. A developer describes the behavior of the hardware between 
531 clock cycles. Many functional hardware description model signals as a stream 
532 of all values over time; state is then modeled as a delay on this stream of 
533 values. The approach taken in this research is to make the current state of a 
534 circuit part of the input of the function and the updated state part of the 
535 output. The current abstraction of state and time limits the descriptions to 
536 synchronous hardware, there however is room within the language to eventually 
537 add a different abstraction mechanism that will allow for the modeling of 
538 asynchronous systems.
539
540 Like the standard hardware description languages, descriptions made in a 
541 functional hardware description language must eventually be converted into a 
542 netlist. This research also features a prototype translator, which has the 
543 same name as the language: \CLaSH\footnote{\CLaSHtiny: \acrotiny{CAES} 
544 Language for Synchronous Hardware} (pronounced: clash). This compiler converts 
545 the Haskell code to equivalently behaving synthesizable \VHDL\ code, ready to 
546 be converted to an actual netlist format by an (optimizing) \VHDL\ synthesis 
547 tool.
548
549 Besides trivial circuits such as variants of both the FIR filter and the 
550 simple CPU shown in \Cref{sec:usecases}, the \CLaSH\ compiler has also been 
551 shown to work for non-trivial descriptions. \CLaSH\ has been able to 
552 successfully translate the functional description of a streaming reduction 
553 circuit~\cite{reductioncircuit} for floating point numbers.
554
555 \section{Hardware description in Haskell}
556
557   \subsection{Function application}
558     The basic syntactic elements of a functional program are functions
559     and function application. These have a single obvious translation to a 
560     netlist format: 
561     \begin{inparaenum}
562       \item every function is translated to a component, 
563       \item every function argument is translated to an input port,
564       \item the result value of a function is translated to an output port, 
565             and
566       \item function applications are translated to component instantiations.
567     \end{inparaenum} 
568     The output port can have a structured type (such as a tuple), so having 
569     just a single output port does not pose any limitation. The arguments of a 
570     function application are assigned to signals, which are then mapped to
571     the corresponding input ports of the component. The output port of the 
572     function is also mapped to a signal, which is used as the result of the 
573     application itself.
574
575     Since every top level function generates its own component, the
576     hierarchy of function calls is reflected in the final netlist,% aswell, 
577     creating a hierarchical description of the hardware. The separation in 
578     different components makes it easier for a developer to understand and 
579     possibly hand-optimize the resulting \VHDL\ output of the \CLaSH\ 
580     compiler.
581
582     As an example we can see the netlist of the |mac| function in
583     \Cref{img:mac-comb}; the |mac| function applies both the |mul| and |add|
584     function to calculate $a * b + c$:
585     
586     \begin{code}
587     mac a b c = add (mul a b) c
588     \end{code}
589     
590     \begin{figure}
591     \centerline{\includegraphics{mac.svg}}
592     \caption{Combinatorial Multiply-Accumulate}
593     \label{img:mac-comb}
594     \end{figure}
595     
596     The result of using a structural input type can be seen in 
597     \cref{img:mac-comb-nocurry} where the |mac| function now uses a single
598     input tuple for the |a|, |b|, and |c| arguments:
599     
600     \begin{code}
601     mac (a, b, c) = add (mul a b) c
602     \end{code}
603     
604     \begin{figure}
605     \centerline{\includegraphics{mac-nocurry.svg}}
606     \caption{Combinatorial Multiply-Accumulate (complex input)}
607     \label{img:mac-comb-nocurry}
608     \end{figure}
609
610   \subsection{Choice}
611     In Haskell, choice can be achieved by a large set of language constructs, 
612     consisting of: \hs{case} constructs, \hs{if-then-else} constructs, 
613     pattern matching, and guards. The most general of these are the \hs{case} 
614     constructs (\hs{if} expressions can be very directly translated to 
615     \hs{case} expressions). A \hs{case} construct is translated to a 
616     multiplexer, where the control value is linked to the selection port and 
617     the  output of each case is linked to the corresponding input port on the 
618     multiplexer.
619     % A \hs{case} expression can in turn simply be translated to a conditional 
620     % assignment in \VHDL, where the conditions use equality comparisons 
621     % against the constructors in the \hs{case} expressions. 
622     We can see two versions of a contrived example below, the first 
623     using a \hs{case} construct and the other using an \hs{if-then-else} 
624     construct, in the code below. The examples sums two values when they are 
625     equal or non-equal (depending on the given predicate, the \hs{pred} 
626     variable) and returns 0 otherwise. The \hs{pred} variable has the 
627     following, user-defined, enumeration datatype:
628     
629     \begin{code}
630     data Pred = Equiv | NotEquiv
631     \end{code}
632
633     The naive netlist corresponding to both versions of the example is 
634     depicted in \Cref{img:choice}.
635
636     \begin{code}    
637     sumif pred a b = case pred of
638       Equiv -> case a == b of
639         True      -> a + b
640         False     -> 0
641       NotEquiv  -> case a != b of
642         True      -> a + b
643         False     -> 0
644     \end{code}
645
646     \begin{code}
647     sumif pred a b = 
648       if pred == Eq then 
649         if a == b then a + b else 0
650       else 
651         if a != b then a + b else 0
652     \end{code}
653
654     \begin{figure}
655     \centerline{\includegraphics{choice-case.svg}}
656     \caption{Choice - sumif}
657     \label{img:choice}
658     \end{figure}
659
660     A user-friendly and also very powerful form of choice is pattern 
661     matching. A function can be defined in multiple clauses, where each clause 
662     corresponds to a pattern. When an argument matches a pattern, the 
663     corresponding clause will be used. Expressions can also contain guards, 
664     where the expression is only executed if the guard evaluates to true, and 
665     continues with the next clause if the guard evaluates to false. Like 
666     \hs{if-then-else} constructs, pattern matching and guards have a 
667     (straightforward) translation to \hs{case} constructs and can as such be 
668     mapped to multiplexers. A third version of the earlier example, using both 
669     pattern matching and guards, can be seen below. The guard is the 
670     expression that follows the vertical bar (\hs{|}) and precedes the 
671     assignment operator (\hs{=}). The \hs{otherwise} guards always evaluate to 
672     \hs{true}.
673     
674     The version using pattern matching and guards corresponds to the same 
675     naive netlist representation (\Cref{img:choice}) as the earlier two 
676     versions of the example.
677     
678     \begin{code}
679     sumif Eq a b    | a == b      = a + b
680                     | otherwise   = 0
681     sumif Neq a b   | a != b      = a + b
682                     | otherwise   = 0
683     \end{code}
684
685     % \begin{figure}
686     % \centerline{\includegraphics{choice-ifthenelse}}
687     % \caption{Choice - \emph{if-then-else}}
688     % \label{img:choice}
689     % \end{figure}
690
691   \subsection{Types}
692     Haskell is a statically-typed language, meaning that the type of a 
693     variable or function is determined at compile-time. Not all of Haskell's 
694     typing constructs have a clear translation to hardware, as such this 
695     section will only deal with the types that do have a clear correspondence 
696     to hardware. The translatable types are divided into two categories: 
697     \emph{built-in} types and \emph{user-defined} types. Built-in types are 
698     those types for which a direct translation is defined within the \CLaSH\ 
699     compiler; the term user-defined types should not require any further 
700     elaboration. The translatable types are also inferable by the compiler, 
701     meaning that a developer does not have to annotate every function with a 
702     type signature.
703   
704     % Translation of two most basic functional concepts has been
705     % discussed: function application and choice. Before looking further
706     % into less obvious concepts like higher-order expressions and
707     % polymorphism, the possible types that can be used in hardware
708     % descriptions will be discussed.
709     % 
710     % Some way is needed to translate every value used to its hardware
711     % equivalents. In particular, this means a hardware equivalent for
712     % every \emph{type} used in a hardware description is needed.
713     % 
714     % The following types are \emph{built-in}, meaning that their hardware
715     % translation is fixed into the \CLaSH\ compiler. A designer can also
716     % define his own types, which will be translated into hardware types
717     % using translation rules that are discussed later on.
718
719   \subsubsection{Built-in types}
720     The following types have direct translation defined within the \CLaSH\
721     compiler:
722     \begin{xlist}
723       \item[\bf{Bit}]
724         This is the most basic type available. It can have two values:
725         \hs{Low} and \hs{High}. 
726         % It is mapped directly onto the \texttt{std\_logic} \VHDL\ type. 
727       \item[\bf{Bool}]
728         This is a basic logic type. It can have two values: \hs{True}
729         and \hs{False}. 
730         % It is translated to \texttt{std\_logic} exactly like the \hs{Bit} 
731         % type (where a value of \hs{True} corresponds to a value of 
732         % \hs{High}). 
733         Supporting the Bool type is required in order to support the
734         \hs{if-then-else} construct, which requires a \hs{Bool} value for 
735         the condition.
736       \item[\bf{SizedWord}, \bf{SizedInt}]
737         These are types to represent integers. A \hs{SizedWord} is unsigned,
738         while a \hs{SizedInt} is signed. Both are parametrizable in their 
739         size. 
740         % , so you can define an unsigned word of 32 bits wide as follows:
741
742         % \begin{code}
743         % type Word32 = SizedWord D32
744         % \end{code}
745
746         % Here, a type synonym \hs{Word32} is defined that is equal to the
747         % \hs{SizedWord} type constructor applied to the type \hs{D32}. 
748         % \hs{D32} is the \emph{type level representation} of the decimal 
749         % number 32, making the \hs{Word32} type a 32-bit unsigned word. These 
750         % types are translated to the \VHDL\ \texttt{unsigned} and 
751         % \texttt{signed} respectively.
752       \item[\bf{Vector}]
753         This is a vector type that can contain elements of any other type and
754         has a fixed length. The \hs{Vector} type constructor takes two type 
755         arguments: the length of the vector and the type of the elements 
756         contained in it. The short-hand notation used for the vector type in  
757         the rest of paper is: \hs{[a|n]}. Where the \hs{a} is the element 
758         type, and \hs{n} is the length of the vector.
759         % The state type of an 8 element register bank would then for example 
760         % be:
761
762         % \begin{code}
763         % type RegisterState = Vector D8 Word32
764         % \end{code}
765
766         % Here, a type synonym \hs{RegisterState} is defined that is equal to
767         % the \hs{Vector} type constructor applied to the types \hs{D8} (The 
768         % type level representation of the decimal number 8) and \hs{Word32} 
769         % (The 32 bit word type as defined above). In other words, the 
770         % \hs{RegisterState} type is a vector of 8 32-bit words. A fixed size 
771         % vector is translated to a \VHDL\ array type.
772       \item[\bf{Index}]
773         This is another type to describe integers, but unlike the previous
774         two it has no specific bit-width, but an upper bound. This means that
775         its range is not limited to powers of two, but can be any number.
776         An \hs{Index} only has an upper bound, its lower bound is
777         implicitly zero. The main purpose of the \hs{Index} type is to be 
778         used as an index to a \hs{Vector}.
779
780         % \comment{TODO: Perhaps remove this example?} To define an index for 
781         % the 8 element vector above, we would do:
782
783         % \begin{code}
784         % type RegisterIndex = RangedWord D7
785         % \end{code}
786
787         % Here, a type synonym \hs{RegisterIndex} is defined that is equal to
788         % the \hs{RangedWord} type constructor applied to the type \hs{D7}. In
789         % other words, this defines an unsigned word with values from
790         % 0 to 7 (inclusive). This word can be be used to index the
791         % 8 element vector \hs{RegisterState} above. This type is translated 
792         % to the \texttt{unsigned} \VHDL type.
793     \end{xlist}
794
795   \subsubsection{User-defined types}
796     There are three ways to define new types in Haskell: algebraic
797     data-types with the \hs{data} keyword, type synonyms with the \hs{type}
798     keyword and datatype renaming constructs with the \hs{newtype} keyword. 
799     \GHC\ offers a few more advanced ways to introduce types (type families,
800     existential typing, {\small{GADT}}s, etc.) which are not standard Haskell. 
801     As it is currently unclear how these advanced type constructs correspond 
802     with hardware, they are for now unsupported by the \CLaSH\ compiler
803
804     Only an algebraic datatype declaration actually introduces a
805     completely new type. Type synonyms and renaming constructs only define new 
806     names for existing types, where synonyms are completely interchangeable 
807     and renaming constructs need explicit conversions. Therefore, these do not 
808     need any particular translation, a synonym or renamed type will just use 
809     the same representation as the original type. For algebraic types, we can 
810     make the following distinctions: 
811
812     \begin{xlist}
813       \item[\bf{Single constructor}]
814         Algebraic datatypes with a single constructor with one or more
815         fields, are essentially a way to pack a few values together in a
816         record-like structure. Haskell's built-in tuple types are also defined 
817         as single constructor algebraic types  An example of a single 
818         constructor type is the following pair of integers:
819         \begin{code}
820         data IntPair = IntPair Int Int
821         \end{code}
822         % These types are translated to \VHDL\ record types, with one field 
823         % for every field in the constructor.
824       \item[\bf{No fields}]
825         Algebraic datatypes with multiple constructors, but without any
826         fields are essentially a way to get an enumeration-like type
827         containing alternatives. Note that Haskell's \hs{Bool} type is also 
828         defined as an enumeration type, but we have a fixed translation for 
829         that. An example of such an enum type is the type that represents the
830         colors in a traffic light:
831         \begin{code}
832         data TrafficLight = Red | Orange | Green
833         \end{code}
834         % These types are translated to \VHDL\ enumerations, with one 
835         % value for each constructor. This allows references to these 
836         % constructors to be translated to the corresponding enumeration 
837         % value.
838       \item[\bf{Multiple constructors with fields}]
839         Algebraic datatypes with multiple constructors, where at least
840         one of these constructors has one or more fields are currently not 
841         supported.
842     \end{xlist}
843
844   \subsection{Polymorphism}
845     A powerful construct in most functional languages is polymorphism, it 
846     allows a function to handle values of different data types in a uniform 
847     way. Haskell supports \emph{parametric polymorphism}~\cite{polymorphism}, 
848     meaning functions can be written without mention of any specific type and 
849     can be used transparently with any number of new types.
850
851     As an example of a parametric polymorphic function, consider the type of 
852     the following \hs{append} function, which appends an element to a vector:
853     \begin{code}
854     append :: [a|n] -> a -> [a|n + 1]
855     \end{code}
856
857     This type is parameterized by \hs{a}, which can contain any type at
858     all. This means that \hs{append} can append an element to a vector,
859     regardless of the type of the elements in the list (as long as the type of 
860     the value to be added is of the same type as the values in the vector). 
861     This kind of polymorphism is extremely useful in hardware designs to make 
862     operations work on a vector without knowing exactly what elements are 
863     inside, routing signals without knowing exactly what kinds of signals 
864     these are, or working with a vector without knowing exactly how long it 
865     is. Polymorphism also plays an important role in most higher order 
866     functions, as we will see in the next section.
867
868     Another type of polymorphism is \emph{ad-hoc 
869     polymorphism}~\cite{polymorphism}, which refers to polymorphic 
870     functions which can be applied to arguments of different types, but which 
871     behave differently depending on the type of the argument to which they are 
872     applied. In Haskell, ad-hoc polymorphism is achieved through the use of 
873     type classes, where a class definition provides the general interface of a 
874     function, and class instances define the functionality for the specific 
875     types. An example of such a type class is the \hs{Num} class, which 
876     contains all of Haskell's numerical operations. A developer can make use 
877     of this ad-hoc polymorphism by adding a constraint to a parametrically 
878     polymorphic type variable. Such a constraint indicates that the type 
879     variable can only be instantiated to a type whose members supports the 
880     overloaded functions associated with the type class. 
881     
882     As an example we will take a look at type signature of the function 
883     \hs{sum}, which sums the values in a vector:
884     \begin{code}
885     sum :: Num a => [a|n] -> a
886     \end{code}
887
888     This type is again parameterized by \hs{a}, but it can only contain
889     types that are \emph{instances} of the \emph{type class} \hs{Num}, so that  
890     we know that the addition (+) operator is defined for that type. 
891     \CLaSH's built-in numerical types are also instances of the \hs{Num}
892     class, so we can use the addition operator on \hs{SizedWords} as
893     well as on \hs{SizedInts}.
894
895     In \CLaSH, parametric polymorphism is completely supported. Any function 
896     defined can have any number of unconstrained type parameters. The \CLaSH\ 
897     compiler will infer the type of every such argument depending on how the 
898     function is applied. There is one exception to this: The top level 
899     function that is translated, can not have any polymorphic arguments (as 
900     they are never applied, so there is no way to find out the actual types 
901     for the type parameters).
902
903     \CLaSH\ does not support user-defined type classes, but does use some
904     of the built-in type classes for its built-in function, such as: \hs{Num} 
905     for numerical operations, \hs{Eq} for the equality operators, and
906     \hs{Ord} for the comparison/order operators.
907
908   \subsection{Higher-order functions \& values}
909     Another powerful abstraction mechanism in functional languages, is
910     the concept of \emph{higher-order functions}, or \emph{functions as
911     a first class value}. This allows a function to be treated as a
912     value and be passed around, even as the argument of another
913     function. The following example should clarify this concept:
914     
915     \begin{code}
916     negVector xs = map not xs
917     \end{code}
918
919     The code above defines a function \hs{negVector}, which takes a vector of
920     booleans, and returns a vector where all the values are negated. It 
921     achieves this by calling the \hs{map} function, and passing it 
922     \emph{another function}, boolean negation, and the vector of booleans, 
923     \hs{xs}. The \hs{map} function applies the negation function to all the 
924     elements in the vector.
925
926     The \hs{map} function is called a higher-order function, since it takes 
927     another function as an argument. Also note that \hs{map} is again a 
928     parametric polymorphic function: It does not pose any constraints on the 
929     type of the vector elements, other than that it must be the same type as 
930     the input type of the function passed to \hs{map}. The element type of the 
931     resulting vector is equal to the return type of the function passed, which 
932     need not necessarily be the same as the element type of the input vector. 
933     All of these characteristics  can readily be inferred from the type 
934     signature belonging to \hs{map}:
935
936     \begin{code}
937     map :: (a -> b) -> [a|n] -> [b|n]
938     \end{code}
939
940     So far, only functions have been used as higher-order values. In
941     Haskell, there are two more ways to obtain a function-typed value:
942     partial application and lambda abstraction. Partial application
943     means that a function that takes multiple arguments can be applied
944     to a single argument, and the result will again be a function (but
945     that takes one argument less). As an example, consider the following
946     expression, that adds one to every element of a vector:
947
948     \begin{code}
949     map ((+) 1) xs
950     \end{code}
951
952     Here, the expression \hs{(+) 1} is the partial application of the
953     plus operator to the value \hs{1}, which is again a function that
954     adds one to its argument. A lambda expression allows one to introduce an 
955     anonymous function in any expression. Consider the following expression, 
956     which again adds one to every element of a vector:
957
958     \begin{code}
959     map (\x -> x + 1) xs
960     \end{code}
961
962     Finally, higher order arguments are not limited to just built-in
963     functions, but any function defined in \CLaSH\ can have function
964     arguments. This allows the hardware designer to use a powerful
965     abstraction mechanism in his designs and have an optimal amount of
966     code reuse.
967
968     \comment{TODO: Describe ALU example (no code)}
969
970   \subsection{State}
971     A very important concept in hardware it the concept of state. In a 
972     stateful design, the outputs depend on the history of the inputs, or the 
973     state. State is usually stored in registers, which retain their value 
974     during a clock cycle. As we want to describe more than simple 
975     combinatorial designs, \CLaSH\ needs an abstraction mechanism for state.
976
977     An important property in Haskell, and in most other functional languages, 
978     is \emph{purity}. A function is said to be \emph{pure} if it satisfies two
979     conditions:
980     \begin{inparaenum}
981       \item given the same arguments twice, it should return the same value in 
982       both cases, and
983       \item when the function is called, it should not have observable 
984       side-effects.
985     \end{inparaenum}
986     % This purity property is important for functional languages, since it 
987     % enables all kinds of mathematical reasoning that could not be guaranteed 
988     % correct for impure functions. 
989     Pure functions are as such a perfect match or a combinatorial circuit, 
990     where the output solely depends on the  inputs. When a circuit has state 
991     however, it can no longer be simply described by a pure function. 
992     % Simply removing the purity property is not a valid option, as the 
993     % language would then lose many of it mathematical properties. 
994     In an effort to include the concept of state in pure 
995     functions, the current value of the state is made an argument of the  
996     function; the updated state becomes part of the result. In this sense the
997     descriptions made in \CLaSH are the describing the combinatorial parts of 
998     a mealy machine.
999     
1000     A simple example is adding an accumulator register to the earlier 
1001     multiply-accumulate circuit, of which the resulting netlist can be seen in 
1002     \Cref{img:mac-state}:
1003     
1004     \begin{code}
1005     macS (State c) a b = (State c', outp)
1006       where
1007         outp  = mac a b c
1008         c'    = outp
1009     \end{code}
1010     
1011     \begin{figure}
1012     \centerline{\includegraphics{mac-state.svg}}
1013     \caption{Stateful Multiply-Accumulate}
1014     \label{img:mac-state}
1015     \end{figure}
1016     
1017     The \hs{State} keyword indicates which arguments are part of the current 
1018     state, and what part of the output is part of the updated state. This 
1019     aspect will also reflected in the type signature of the function. 
1020     Abstracting the state of a circuit in this way makes it very explicit: 
1021     which variables are part of the state is completely determined by the 
1022     type signature. This approach to state is well suited to be used in 
1023     combination with the existing code and language features, such as all the 
1024     choice constructs, as state values are just normal values. We can simulate 
1025     stateful descriptions using the recursive \hs{run} function:
1026     
1027     \begin{code}
1028     run f s (i:inps) = o : (run f s' inps)
1029       where
1030         (s', o) = f s i
1031     \end{code}
1032     
1033     The \hs{run} function maps a list of inputs over the function that a 
1034     developer wants to simulate, passing the state to each new iteration. Each
1035     value in the input list corresponds to exactly one cycle of the (implicit) 
1036     clock. The result of the simulation is a list of outputs for every clock
1037     cycle. As both the \hs{run} function and the hardware description are 
1038     plain Haskell, the complete simulation can be compiled by an optimizing
1039     Haskell compiler.
1040     
1041 \section{\CLaSH\ prototype}
1042
1043 The \CLaSH\ language as presented above can be translated to \VHDL\ using
1044 the prototype \CLaSH\ compiler. This compiler allows experimentation with
1045 the \CLaSH\ language and allows for running \CLaSH\ designs on actual FPGA
1046 hardware.
1047
1048 \begin{figure}
1049 \centerline{\includegraphics{compilerpipeline.svg}}
1050 \caption{\CLaSHtiny\ compiler pipeline}
1051 \label{img:compilerpipeline}
1052 \end{figure}
1053
1054 The prototype heavily uses \GHC, the Glasgow Haskell Compiler. 
1055 \Cref{img:compilerpipeline} shows the \CLaSH\ compiler pipeline. As you can 
1056 see, the front-end is completely reused from \GHC, which allows the \CLaSH\ 
1057 prototype to support most of the Haskell Language. The \GHC\ front-end 
1058 produces the program in the \emph{Core} format, which is a very small, 
1059 functional, typed language which is relatively easy to process.
1060
1061 The second step in the compilation process is \emph{normalization}. This
1062 step runs a number of \emph{meaning preserving} transformations on the
1063 Core program, to bring it into a \emph{normal form}. This normal form
1064 has a number of restrictions that make the program similar to hardware.
1065 In particular, a program in normal form no longer has any polymorphism
1066 or higher order functions.
1067
1068 The final step is a simple translation to \VHDL.
1069
1070 \section{Use cases}
1071 \label{sec:usecases}
1072 As an example of a common hardware design where the use of higher-order
1073 functions leads to a very natural description is a FIR filter, which is 
1074 basically the dot-product of two vectors:
1075
1076 \begin{equation}
1077 y_t  = \sum\nolimits_{i = 0}^{n - 1} {x_{t - i}  \cdot h_i } 
1078 \end{equation}
1079
1080 A FIR filter multiplies fixed constants ($h$) with the current 
1081 and a few previous input samples ($x$). Each of these multiplications
1082 are summed, to produce the result at time $t$. The equation of a FIR 
1083 filter is indeed equivalent to the equation of the dot-product, which is 
1084 shown below:
1085
1086 \begin{equation}
1087 \mathbf{x}\bullet\mathbf{y} = \sum\nolimits_{i = 0}^{n - 1} {x_i \cdot y_i } 
1088 \end{equation}
1089
1090 We can easily and directly implement the equation for the dot-product
1091 using higher-order functions:
1092
1093 \begin{code}
1094 xs *+* ys = foldl1 (+) (zipWith (*) xs hs)
1095 \end{code}
1096
1097 The \hs{zipWith} function is very similar to the \hs{map} function seen 
1098 earlier: It takes a function, two vectors, and then applies the function to 
1099 each of the elements in the two vectors pairwise (\emph{e.g.}, \hs{zipWith (*) 
1100 [1, 2] [3, 4]} becomes \hs{[1 * 3, 2 * 4]} $\equiv$ \hs{[3,8]}).
1101
1102 The \hs{foldl1} function takes a function, a single vector, and applies 
1103 the function to the first two elements of the vector. It then applies the
1104 function to the result of the first application and the next element from 
1105 the vector. This continues until the end of the vector is reached. The 
1106 result of the \hs{foldl1} function is the result of the last application.
1107 As you can see, the \hs{zipWith (*)} function is just pairwise 
1108 multiplication and the \hs{foldl1 (+)} function is just summation.
1109
1110 Returning to the actual FIR filter, we will slightly change the
1111 equation belong to it, so as to make the translation to code more obvious.
1112 What we will do is change the definition of the vector of input samples.
1113 So, instead of having the input sample received at time
1114 $t$ stored in $x_t$, $x_0$ now always stores the current sample, and $x_i$
1115 stores the $ith$ previous sample. This changes the equation to the
1116 following (Note that this is completely equivalent to the original
1117 equation, just with a different definition of $x$ that will better suit
1118 the transformation to code):
1119
1120 \begin{equation}
1121 y_t  = \sum\nolimits_{i = 0}^{n - 1} {x_i  \cdot h_i } 
1122 \end{equation}
1123
1124 Consider that the vector \hs{hs} contains the FIR coefficients and the 
1125 vector \hs{xs} contains the current input sample in front and older 
1126 samples behind. The function that shifts the input samples is shown below:
1127
1128 \begin{code}
1129 x >> xs = x +> tail xs  
1130 \end{code}
1131
1132 Where the \hs{tail} function returns all but the first element of a 
1133 vector, and the concatenate operator ($\succ$) adds a new element to the 
1134 left of a vector. The complete definition of the FIR filter then becomes:
1135
1136 \begin{code}
1137 fir (State (xs,hs)) x = (State (x >> xs,hs), xs *+* hs)
1138 \end{code}
1139
1140 The resulting netlist of a 4-taps FIR filter based on the above definition
1141 is depicted in \Cref{img:4tapfir}.
1142
1143 \begin{figure}
1144 \centerline{\includegraphics{4tapfir.svg}}
1145 \caption{4-taps \acrotiny{FIR} Filter}
1146 \label{img:4tapfir}
1147 \end{figure}
1148
1149 \section{Related work}
1150 Many functional hardware description languages have been developed over the 
1151 years. Early work includes such languages as $\mu$\acro{FP}~\cite{muFP}, an 
1152 extension of Backus' \acro{FP} language to synchronous streams, designed 
1153 particularly for describing and reasoning about regular circuits. The 
1154 Ruby~\cite{Ruby} language uses relations, instead of functions, to describe 
1155 circuits, and has a particular focus on layout. 
1156
1157 \acro{HML}~\cite{HML2} is a hardware modeling language based on the strict 
1158 functional language \acro{ML}, and has support for polymorphic types and 
1159 higher-order functions. Published work suggests that there is no direct 
1160 simulation support for \acro{HML}, but that a description in \acro{HML} has to 
1161 be translated to \VHDL\ and that the translated description can than be 
1162 simulated in a \VHDL\ simulator. Also not all of the mentioned language 
1163 features of \acro{HML} could be translated to hardware. The \CLaSH\ compiler 
1164 on the other hand can correctly translate all of the language constructs 
1165 mentioned in this paper to a netlist format.
1166
1167 Like this work, many functional hardware description languages have some sort 
1168 of foundation in the functional programming language Haskell. 
1169 Hawk~\cite{Hawk1} uses Haskell to describe system-level executable 
1170 specifications used to model the behavior of superscalar microprocessors. Hawk 
1171 specifications can be simulated, but there seems to be no support for 
1172 automated circuit synthesis. 
1173
1174 The ForSyDe~\cite{ForSyDe2} system uses Haskell to specify abstract system 
1175 models, which can (manually) be transformed into an implementation model using 
1176 semantic preserving transformations. A designer can model systems using 
1177 heterogeneous models of computation, which include continuous time, 
1178 synchronous and untimed models of computation. Using so-called domain 
1179 interfaces a designer can simulate electronic systems which have both analog 
1180 as digital parts. ForSyDe has several backends including simulation and 
1181 automated synthesis, though automated synthesis is restricted to the 
1182 synchronous model of computation within ForSyDe. Unlike \CLaSH\ there is no 
1183 support for the automated synthesis of descriptions that contain polymorphism 
1184 or higher-order functions.
1185
1186 Lava~\cite{Lava} is a hardware description language that focuses on the 
1187 structural representation of hardware. Besides support for simulation and 
1188 circuit synthesis, Lava descriptions can be interfaced with formal method 
1189 tools for formal verification. Lava descriptions are actually circuit 
1190 generators when viewed from a synthesis viewpoint, in that the language 
1191 elements of Haskell, such as choice, can be used to guide the circuit 
1192 generation. If a developer wants to insert a choice element inside an actual 
1193 circuit he will have to explicitly instantiate a multiplexer-like component. 
1194
1195 In this respect \CLaSH\ differs from Lava, in that all the choice elements, 
1196 such as case-statements and pattern matching, are synthesized to choice 
1197 elements in the eventual circuit. As such, richer control structures can both 
1198 be specified and synthesized in \CLaSH\ compared to any of the languages 
1199 mentioned in this section.
1200
1201 The merits of polymorphic typing, combined with higher-order functions, are 
1202 now also recognized in the `main-stream' hardware description languages, 
1203 exemplified by the new \VHDL-2008 standard~\cite{VHDL2008}. \VHDL-2008 support for generics has been extended to types, allowing a developer to describe 
1204 polymorphic components. Note that those types still require an explicit 
1205 generic map, whereas types can be automatically inferred in \CLaSH.
1206
1207 % Wired~\cite{Wired},, T-Ruby~\cite{T-Ruby}, Hydra~\cite{Hydra}. 
1208
1209 % A functional language designed specifically for hardware design is 
1210 % $re{\mathit{FL}}^{ect}$~\cite{reFLect}, which draws experience from earlier 
1211 % language called \acro{FL}~\cite{FL} to la
1212
1213 % An example of a floating figure using the graphicx package.
1214 % Note that \label must occur AFTER (or within) \caption.
1215 % For figures, \caption should occur after the \includegraphics.
1216 % Note that IEEEtran v1.7 and later has special internal code that
1217 % is designed to preserve the operation of \label within \caption
1218 % even when the captionsoff option is in effect. However, because
1219 % of issues like this, it may be the safest practice to put all your
1220 % \label just after \caption rather than within \caption{}.
1221 %
1222 % Reminder: the "draftcls" or "draftclsnofoot", not "draft", class
1223 % option should be used if it is desired that the figures are to be
1224 % displayed while in draft mode.
1225 %
1226 %\begin{figure}[!t]
1227 %\centering
1228 %\includegraphics[width=2.5in]{myfigure}
1229 % where an .eps filename suffix will be assumed under latex, 
1230 % and a .pdf suffix will be assumed for pdflatex; or what has been declared
1231 % via \DeclareGraphicsExtensions.
1232 %\caption{Simulation Results}
1233 %\label{fig_sim}
1234 %\end{figure}
1235
1236 % Note that IEEE typically puts floats only at the top, even when this
1237 % results in a large percentage of a column being occupied by floats.
1238
1239
1240 % An example of a double column floating figure using two subfigures.
1241 % (The subfig.sty package must be loaded for this to work.)
1242 % The subfigure \label commands are set within each subfloat command, the
1243 % \label for the overall figure must come after \caption.
1244 % \hfil must be used as a separator to get equal spacing.
1245 % The subfigure.sty package works much the same way, except \subfigure is
1246 % used instead of \subfloat.
1247 %
1248 %\begin{figure*}[!t]
1249 %\centerline{\subfloat[Case I]\includegraphics[width=2.5in]{subfigcase1}%
1250 %\label{fig_first_case}}
1251 %\hfil
1252 %\subfloat[Case II]{\includegraphics[width=2.5in]{subfigcase2}%
1253 %\label{fig_second_case}}}
1254 %\caption{Simulation results}
1255 %\label{fig_sim}
1256 %\end{figure*}
1257 %
1258 % Note that often IEEE papers with subfigures do not employ subfigure
1259 % captions (using the optional argument to \subfloat), but instead will
1260 % reference/describe all of them (a), (b), etc., within the main caption.
1261
1262
1263 % An example of a floating table. Note that, for IEEE style tables, the 
1264 % \caption command should come BEFORE the table. Table text will default to
1265 % \footnotesize as IEEE normally uses this smaller font for tables.
1266 % The \label must come after \caption as always.
1267 %
1268 %\begin{table}[!t]
1269 %% increase table row spacing, adjust to taste
1270 %\renewcommand{\arraystretch}{1.3}
1271 % if using array.sty, it might be a good idea to tweak the value of
1272 % \extrarowheight as needed to properly center the text within the cells
1273 %\caption{An Example of a Table}
1274 %\label{table_example}
1275 %\centering
1276 %% Some packages, such as MDW tools, offer better commands for making tables
1277 %% than the plain LaTeX2e tabular which is used here.
1278 %\begin{tabular}{|c||c|}
1279 %\hline
1280 %One & Two\\
1281 %\hline
1282 %Three & Four\\
1283 %\hline
1284 %\end{tabular}
1285 %\end{table}
1286
1287
1288 % Note that IEEE does not put floats in the very first column - or typically
1289 % anywhere on the first page for that matter. Also, in-text middle ("here")
1290 % positioning is not used. Most IEEE journals/conferences use top floats
1291 % exclusively. Note that, LaTeX2e, unlike IEEE journals/conferences, places
1292 % footnotes above bottom floats. This can be corrected via the \fnbelowfloat
1293 % command of the stfloats package.
1294
1295
1296
1297 \section{Conclusion}
1298 The conclusion goes here.
1299
1300
1301
1302
1303 % conference papers do not normally have an appendix
1304
1305
1306 % use section* for acknowledgement
1307 \section*{Acknowledgment}
1308
1309
1310 The authors would like to thank...
1311
1312
1313
1314
1315
1316 % trigger a \newpage just before the given reference
1317 % number - used to balance the columns on the last page
1318 % adjust value as needed - may need to be readjusted if
1319 % the document is modified later
1320 %\IEEEtriggeratref{8}
1321 % The "triggered" command can be changed if desired:
1322 %\IEEEtriggercmd{\enlargethispage{-5in}}
1323
1324 % references section
1325
1326 % can use a bibliography generated by BibTeX as a .bbl file
1327 % BibTeX documentation can be easily obtained at:
1328 % http://www.ctan.org/tex-archive/biblio/bibtex/contrib/doc/
1329 % The IEEEtran BibTeX style support page is at:
1330 % http://www.michaelshell.org/tex/ieeetran/bibtex/
1331 \bibliographystyle{IEEEtran}
1332 % argument is your BibTeX string definitions and bibliography database(s)
1333 \bibliography{clash}
1334 %
1335 % <OR> manually copy in the resultant .bbl file
1336 % set second argument of \begin to the number of references
1337 % (used to reserve space for the reference number labels box)
1338 % \begin{thebibliography}{1}
1339
1340 % \bibitem{IEEEhowto:kopka}
1341 % H.~Kopka and P.~W. Daly, \emph{A Guide to \LaTeX}, 3rd~ed.\hskip 1em plus
1342 %   0.5em minus 0.4em\relax Harlow, England: Addison-Wesley, 1999.
1343
1344 % \end{thebibliography}
1345
1346
1347
1348
1349 % that's all folks
1350 \end{document}
1351
1352 % vim: set ai sw=2 sts=2 expandtab: