7673139de694e58f66ac4a3218053e62d4755931
[matthijs/master-project/dsd-paper.git] / cλash.lhs
1
2 %% bare_conf.tex
3 %% V1.3
4 %% 2007/01/11
5 %% by Michael Shell
6 %% See:
7 %% http://www.michaelshell.org/
8 %% for current contact information.
9 %%
10 %% This is a skeleton file demonstrating the use of IEEEtran.cls
11 %% (requires IEEEtran.cls version 1.7 or later) with an IEEE conference paper.
12 %%
13 %% Support sites:
14 %% http://www.michaelshell.org/tex/ieeetran/
15 %% http://www.ctan.org/tex-archive/macros/latex/contrib/IEEEtran/
16 %% and
17 %% http://www.ieee.org/
18
19 %%*************************************************************************
20 %% Legal Notice:
21 %% This code is offered as-is without any warranty either expressed or
22 %% implied; without even the implied warranty of MERCHANTABILITY or
23 %% FITNESS FOR A PARTICULAR PURPOSE! 
24 %% User assumes all risk.
25 %% In no event shall IEEE or any contributor to this code be liable for
26 %% any damages or losses, including, but not limited to, incidental,
27 %% consequential, or any other damages, resulting from the use or misuse
28 %% of any information contained here.
29 %%
30 %% All comments are the opinions of their respective authors and are not
31 %% necessarily endorsed by the IEEE.
32 %%
33 %% This work is distributed under the LaTeX Project Public License (LPPL)
34 %% ( http://www.latex-project.org/ ) version 1.3, and may be freely used,
35 %% distributed and modified. A copy of the LPPL, version 1.3, is included
36 %% in the base LaTeX documentation of all distributions of LaTeX released
37 %% 2003/12/01 or later.
38 %% Retain all contribution notices and credits.
39 %% ** Modified files should be clearly indicated as such, including  **
40 %% ** renaming them and changing author support contact information. **
41 %%
42 %% File list of work: IEEEtran.cls, IEEEtran_HOWTO.pdf, bare_adv.tex,
43 %%                    bare_conf.tex, bare_jrnl.tex, bare_jrnl_compsoc.tex
44 %%*************************************************************************
45
46 % *** Authors should verify (and, if needed, correct) their LaTeX system  ***
47 % *** with the testflow diagnostic prior to trusting their LaTeX platform ***
48 % *** with production work. IEEE's font choices can trigger bugs that do  ***
49 % *** not appear when using other class files.                            ***
50 % The testflow support page is at:
51 % http://www.michaelshell.org/tex/testflow/
52
53
54
55 % Note that the a4paper option is mainly intended so that authors in
56 % countries using A4 can easily print to A4 and see how their papers will
57 % look in print - the typesetting of the document will not typically be
58 % affected with changes in paper size (but the bottom and side margins will).
59 % Use the testflow package mentioned above to verify correct handling of
60 % both paper sizes by the user's LaTeX system.
61 %
62 % Also note that the "draftcls" or "draftclsnofoot", not "draft", option
63 % should be used if it is desired that the figures are to be displayed in
64 % draft mode.
65 %
66
67 \documentclass[conference,pdf,a4paper,10pt,final,twoside,twocolumn]{IEEEtran}
68 % Add the compsoc option for Computer Society conferences.
69 %
70 % If IEEEtran.cls has not been installed into the LaTeX system files,
71 % manually specify the path to it like:
72 % \documentclass[conference]{../sty/IEEEtran}
73
74 % Some very useful LaTeX packages include:
75 % (uncomment the ones you want to load)
76
77 % *** MISC UTILITY PACKAGES ***
78 %
79 %\usepackage{ifpdf}
80 % Heiko Oberdiek's ifpdf.sty is very useful if you need conditional
81 % compilation based on whether the output is pdf or dvi.
82 % usage:
83 % \ifpdf
84 %   % pdf code
85 % \else
86 %   % dvi code
87 % \fi
88 % The latest version of ifpdf.sty can be obtained from:
89 % http://www.ctan.org/tex-archive/macros/latex/contrib/oberdiek/
90 % Also, note that IEEEtran.cls V1.7 and later provides a builtin
91 % \ifCLASSINFOpdf conditional that works the same way.
92 % When switching from latex to pdflatex and vice-versa, the compiler may
93 % have to be run twice to clear warning/error messages.
94
95
96
97 % *** CITATION PACKAGES ***
98 %
99 \usepackage{cite}
100 % cite.sty was written by Donald Arseneau
101 % V1.6 and later of IEEEtran pre-defines the format of the cite.sty package
102 % \cite{} output to follow that of IEEE. Loading the cite package will
103 % result in citation numbers being automatically sorted and properly
104 % "compressed/ranged". e.g., [1], [9], [2], [7], [5], [6] without using
105 % cite.sty will become [1], [2], [5]--[7], [9] using cite.sty. cite.sty's
106 % \cite will automatically add leading space, if needed. Use cite.sty's
107 % noadjust option (cite.sty V3.8 and later) if you want to turn this off.
108 % cite.sty is already installed on most LaTeX systems. Be sure and use
109 % version 4.0 (2003-05-27) and later if using hyperref.sty. cite.sty does
110 % not currently provide for hyperlinked citations.
111 % The latest version can be obtained at:
112 % http://www.ctan.org/tex-archive/macros/latex/contrib/cite/
113 % The documentation is contained in the cite.sty file itself.
114
115
116
117
118
119
120 % *** GRAPHICS RELATED PACKAGES ***
121 %
122 \ifCLASSINFOpdf
123   \usepackage[pdftex]{graphicx}
124   % declare the path(s) where your graphic files are
125   % \graphicspath{{../pdf/}{../jpeg/}}
126   % and their extensions so you won't have to specify these with
127   % every instance of \includegraphics
128   % \DeclareGraphicsExtensions{.pdf,.jpeg,.png}
129 \else
130   % or other class option (dvipsone, dvipdf, if not using dvips). graphicx
131   % will default to the driver specified in the system graphics.cfg if no
132   % driver is specified.
133   % \usepackage[dvips]{graphicx}
134   % declare the path(s) where your graphic files are
135   % \graphicspath{{../eps/}}
136   % and their extensions so you won't have to specify these with
137   % every instance of \includegraphics
138   % \DeclareGraphicsExtensions{.eps}
139 \fi
140 % graphicx was written by David Carlisle and Sebastian Rahtz. It is
141 % required if you want graphics, photos, etc. graphicx.sty is already
142 % installed on most LaTeX systems. The latest version and documentation can
143 % be obtained at: 
144 % http://www.ctan.org/tex-archive/macros/latex/required/graphics/
145 % Another good source of documentation is "Using Imported Graphics in
146 % LaTeX2e" by Keith Reckdahl which can be found as epslatex.ps or
147 % epslatex.pdf at: http://www.ctan.org/tex-archive/info/
148 %
149 % latex, and pdflatex in dvi mode, support graphics in encapsulated
150 % postscript (.eps) format. pdflatex in pdf mode supports graphics
151 % in .pdf, .jpeg, .png and .mps (metapost) formats. Users should ensure
152 % that all non-photo figures use a vector format (.eps, .pdf, .mps) and
153 % not a bitmapped formats (.jpeg, .png). IEEE frowns on bitmapped formats
154 % which can result in "jaggedy"/blurry rendering of lines and letters as
155 % well as large increases in file sizes.
156 %
157 % You can find documentation about the pdfTeX application at:
158 % http://www.tug.org/applications/pdftex
159
160
161
162
163
164 % *** MATH PACKAGES ***
165 %
166 %\usepackage[cmex10]{amsmath}
167 % A popular package from the American Mathematical Society that provides
168 % many useful and powerful commands for dealing with mathematics. If using
169 % it, be sure to load this package with the cmex10 option to ensure that
170 % only type 1 fonts will utilized at all point sizes. Without this option,
171 % it is possible that some math symbols, particularly those within
172 % footnotes, will be rendered in bitmap form which will result in a
173 % document that can not be IEEE Xplore compliant!
174 %
175 % Also, note that the amsmath package sets \interdisplaylinepenalty to 10000
176 % thus preventing page breaks from occurring within multiline equations. Use:
177 %\interdisplaylinepenalty=2500
178 % after loading amsmath to restore such page breaks as IEEEtran.cls normally
179 % does. amsmath.sty is already installed on most LaTeX systems. The latest
180 % version and documentation can be obtained at:
181 % http://www.ctan.org/tex-archive/macros/latex/required/amslatex/math/
182
183
184
185
186
187 % *** SPECIALIZED LIST PACKAGES ***
188 %
189 %\usepackage{algorithmic}
190 % algorithmic.sty was written by Peter Williams and Rogerio Brito.
191 % This package provides an algorithmic environment fo describing algorithms.
192 % You can use the algorithmic environment in-text or within a figure
193 % environment to provide for a floating algorithm. Do NOT use the algorithm
194 % floating environment provided by algorithm.sty (by the same authors) or
195 % algorithm2e.sty (by Christophe Fiorio) as IEEE does not use dedicated
196 % algorithm float types and packages that provide these will not provide
197 % correct IEEE style captions. The latest version and documentation of
198 % algorithmic.sty can be obtained at:
199 % http://www.ctan.org/tex-archive/macros/latex/contrib/algorithms/
200 % There is also a support site at:
201 % http://algorithms.berlios.de/index.html
202 % Also of interest may be the (relatively newer and more customizable)
203 % algorithmicx.sty package by Szasz Janos:
204 % http://www.ctan.org/tex-archive/macros/latex/contrib/algorithmicx/
205
206
207
208
209 % *** ALIGNMENT PACKAGES ***
210 %
211 %\usepackage{array}
212 % Frank Mittelbach's and David Carlisle's array.sty patches and improves
213 % the standard LaTeX2e array and tabular environments to provide better
214 % appearance and additional user controls. As the default LaTeX2e table
215 % generation code is lacking to the point of almost being broken with
216 % respect to the quality of the end results, all users are strongly
217 % advised to use an enhanced (at the very least that provided by array.sty)
218 % set of table tools. array.sty is already installed on most systems. The
219 % latest version and documentation can be obtained at:
220 % http://www.ctan.org/tex-archive/macros/latex/required/tools/
221
222
223 %\usepackage{mdwmath}
224 %\usepackage{mdwtab}
225 % Also highly recommended is Mark Wooding's extremely powerful MDW tools,
226 % especially mdwmath.sty and mdwtab.sty which are used to format equations
227 % and tables, respectively. The MDWtools set is already installed on most
228 % LaTeX systems. The lastest version and documentation is available at:
229 % http://www.ctan.org/tex-archive/macros/latex/contrib/mdwtools/
230
231
232 % IEEEtran contains the IEEEeqnarray family of commands that can be used to
233 % generate multiline equations as well as matrices, tables, etc., of high
234 % quality.
235
236
237 %\usepackage{eqparbox}
238 % Also of notable interest is Scott Pakin's eqparbox package for creating
239 % (automatically sized) equal width boxes - aka "natural width parboxes".
240 % Available at:
241 % http://www.ctan.org/tex-archive/macros/latex/contrib/eqparbox/
242
243
244
245
246
247 % *** SUBFIGURE PACKAGES ***
248 %\usepackage[tight,footnotesize]{subfigure}
249 % subfigure.sty was written by Steven Douglas Cochran. This package makes it
250 % easy to put subfigures in your figures. e.g., "Figure 1a and 1b". For IEEE
251 % work, it is a good idea to load it with the tight package option to reduce
252 % the amount of white space around the subfigures. subfigure.sty is already
253 % installed on most LaTeX systems. The latest version and documentation can
254 % be obtained at:
255 % http://www.ctan.org/tex-archive/obsolete/macros/latex/contrib/subfigure/
256 % subfigure.sty has been superceeded by subfig.sty.
257
258
259
260 %\usepackage[caption=false]{caption}
261 %\usepackage[font=footnotesize]{subfig}
262 % subfig.sty, also written by Steven Douglas Cochran, is the modern
263 % replacement for subfigure.sty. However, subfig.sty requires and
264 % automatically loads Axel Sommerfeldt's caption.sty which will override
265 % IEEEtran.cls handling of captions and this will result in nonIEEE style
266 % figure/table captions. To prevent this problem, be sure and preload
267 % caption.sty with its "caption=false" package option. This is will preserve
268 % IEEEtran.cls handing of captions. Version 1.3 (2005/06/28) and later 
269 % (recommended due to many improvements over 1.2) of subfig.sty supports
270 % the caption=false option directly:
271 %\usepackage[caption=false,font=footnotesize]{subfig}
272 %
273 % The latest version and documentation can be obtained at:
274 % http://www.ctan.org/tex-archive/macros/latex/contrib/subfig/
275 % The latest version and documentation of caption.sty can be obtained at:
276 % http://www.ctan.org/tex-archive/macros/latex/contrib/caption/
277
278
279
280
281 % *** FLOAT PACKAGES ***
282 %
283 %\usepackage{fixltx2e}
284 % fixltx2e, the successor to the earlier fix2col.sty, was written by
285 % Frank Mittelbach and David Carlisle. This package corrects a few problems
286 % in the LaTeX2e kernel, the most notable of which is that in current
287 % LaTeX2e releases, the ordering of single and double column floats is not
288 % guaranteed to be preserved. Thus, an unpatched LaTeX2e can allow a
289 % single column figure to be placed prior to an earlier double column
290 % figure. The latest version and documentation can be found at:
291 % http://www.ctan.org/tex-archive/macros/latex/base/
292
293
294
295 %\usepackage{stfloats}
296 % stfloats.sty was written by Sigitas Tolusis. This package gives LaTeX2e
297 % the ability to do double column floats at the bottom of the page as well
298 % as the top. (e.g., "\begin{figure*}[!b]" is not normally possible in
299 % LaTeX2e). It also provides a command:
300 %\fnbelowfloat
301 % to enable the placement of footnotes below bottom floats (the standard
302 % LaTeX2e kernel puts them above bottom floats). This is an invasive package
303 % which rewrites many portions of the LaTeX2e float routines. It may not work
304 % with other packages that modify the LaTeX2e float routines. The latest
305 % version and documentation can be obtained at:
306 % http://www.ctan.org/tex-archive/macros/latex/contrib/sttools/
307 % Documentation is contained in the stfloats.sty comments as well as in the
308 % presfull.pdf file. Do not use the stfloats baselinefloat ability as IEEE
309 % does not allow \baselineskip to stretch. Authors submitting work to the
310 % IEEE should note that IEEE rarely uses double column equations and
311 % that authors should try to avoid such use. Do not be tempted to use the
312 % cuted.sty or midfloat.sty packages (also by Sigitas Tolusis) as IEEE does
313 % not format its papers in such ways.
314
315
316
317
318
319 % *** PDF, URL AND HYPERLINK PACKAGES ***
320 %
321 %\usepackage{url}
322 % url.sty was written by Donald Arseneau. It provides better support for
323 % handling and breaking URLs. url.sty is already installed on most LaTeX
324 % systems. The latest version can be obtained at:
325 % http://www.ctan.org/tex-archive/macros/latex/contrib/misc/
326 % Read the url.sty source comments for usage information. Basically,
327 % \url{my_url_here}.
328
329
330
331
332
333 % *** Do not adjust lengths that control margins, column widths, etc. ***
334 % *** Do not use packages that alter fonts (such as pslatex).         ***
335 % There should be no need to do such things with IEEEtran.cls V1.6 and later.
336 % (Unless specifically asked to do so by the journal or conference you plan
337 % to submit to, of course. )
338
339 % correct bad hyphenation here
340 \hyphenation{op-tical net-works semi-conduc-tor}
341
342 % Macro for certain acronyms in small caps. Doesn't work with the
343 % default font, though (it contains no smallcaps it seems).
344 \def\acro#1{{\small{#1}}}
345 \def\VHDL{\acro{VHDL}}
346 \def\GHC{\acro{GHC}}
347 \def\CLaSH{{\small{C}}$\lambda$a{\small{SH}}}
348
349 % Macro for pretty printing haskell snippets. Just monospaced for now, perhaps
350 % we'll get something more complex later on.
351 \def\hs#1{\texttt{#1}}
352 \def\quote#1{``{#1}"}
353
354 \newenvironment{xlist}[1][\rule{0em}{0em}]{%
355   \begin{list}{}{%
356     \settowidth{\labelwidth}{#1:}
357     \setlength{\labelsep}{0.5em}
358     \setlength{\leftmargin}{\labelwidth}
359     \addtolength{\leftmargin}{\labelsep}
360     \addtolength{\leftmargin}{\parindent}
361     \setlength{\rightmargin}{0pt}
362     \setlength{\listparindent}{\parindent}
363     \setlength{\itemsep}{0 ex plus 0.2ex}
364     \renewcommand{\makelabel}[1]{##1:\hfil}
365     }
366   }
367 {\end{list}}
368
369 \usepackage{paralist}
370 \usepackage{xcolor}
371 \def\comment#1{{\color[rgb]{1.0,0.0,0.0}{#1}}}
372
373 \usepackage{cleveref}
374 \crefname{figure}{figure}{figures}
375 \newcommand{\fref}[1]{\cref{#1}} 
376 \newcommand{\Fref}[1]{\Cref{#1}}
377
378 \usepackage{epstopdf}
379
380 \epstopdfDeclareGraphicsRule{.svg}{pdf}{.pdf}{rsvg-convert --format=pdf < #1 > \noexpand\OutputFile}
381
382 %include polycode.fmt
383 %include clash.fmt
384
385 \begin{document}
386 %
387 % paper title
388 % can use linebreaks \\ within to get better formatting as desired
389 \title{C$\lambda$aSH: Structural Descriptions \\ of Synchronous Hardware using Haskell}
390
391
392 % author names and affiliations
393 % use a multiple column layout for up to three different
394 % affiliations
395 \author{\IEEEauthorblockN{Christiaan P.R. Baaij, Matthijs Kooijman, Jan Kuper, Marco E.T. Gerards, Bert Molenkamp, Sabih H. Gerez}
396 \IEEEauthorblockA{University of Twente, Department of EEMCS\\
397 P.O. Box 217, 7500 AE, Enschede, The Netherlands\\
398 c.p.r.baaij@@utwente.nl, matthijs@@stdin.nl, j.kuper@@utwente.nl}}
399 % \and
400 % \IEEEauthorblockN{Homer Simpson}
401 % \IEEEauthorblockA{Twentieth Century Fox\\
402 % Springfield, USA\\
403 % Email: homer@thesimpsons.com}
404 % \and
405 % \IEEEauthorblockN{James Kirk\\ and Montgomery Scott}
406 % \IEEEauthorblockA{Starfleet Academy\\
407 % San Francisco, California 96678-2391\\
408 % Telephone: (800) 555--1212\\
409 % Fax: (888) 555--1212}}
410
411 % conference papers do not typically use \thanks and this command
412 % is locked out in conference mode. If really needed, such as for
413 % the acknowledgment of grants, issue a \IEEEoverridecommandlockouts
414 % after \documentclass
415
416 % for over three affiliations, or if they all won't fit within the width
417 % of the page, use this alternative format:
418
419 %\author{\IEEEauthorblockN{Michael Shell\IEEEauthorrefmark{1},
420 %Homer Simpson\IEEEauthorrefmark{2},
421 %James Kirk\IEEEauthorrefmark{3}, 
422 %Montgomery Scott\IEEEauthorrefmark{3} and
423 %Eldon Tyrell\IEEEauthorrefmark{4}}
424 %\IEEEauthorblockA{\IEEEauthorrefmark{1}School of Electrical and Computer Engineering\\
425 %Georgia Institute of Technology,
426 %Atlanta, Georgia 30332--0250\\ Email: see http://www.michaelshell.org/contact.html}
427 %\IEEEauthorblockA{\IEEEauthorrefmark{2}Twentieth Century Fox, Springfield, USA\\
428 %Email: homer@thesimpsons.com}
429 %\IEEEauthorblockA{\IEEEauthorrefmark{3}Starfleet Academy, San Francisco, California 96678-2391\\
430 %Telephone: (800) 555--1212, Fax: (888) 555--1212}
431 %\IEEEauthorblockA{\IEEEauthorrefmark{4}Tyrell Inc., 123 Replicant Street, Los Angeles, California 90210--4321}}
432
433
434
435
436 % use for special paper notices
437 %\IEEEspecialpapernotice{(Invited Paper)}
438
439
440
441
442 % make the title area
443 \maketitle
444
445
446 \begin{abstract}
447 %\boldmath
448 \CLaSH\ is a functional hardware description language that borrows both its 
449 syntax and semantics from the functional programming language Haskell. Circuit 
450 descriptions can be translated to synthesizable VHDL using the prototype 
451 \CLaSH\ compiler. As the circuit descriptions are made in plain Haskell, 
452 simulations can also be compiled by a Haskell compiler.
453
454 The use of polymorphism and higher-order functions allow a circuit designer to 
455 describe more abstract and general specifications than are possible in the 
456 traditional hardware description languages.
457 \end{abstract}
458 % IEEEtran.cls defaults to using nonbold math in the Abstract.
459 % This preserves the distinction between vectors and scalars. However,
460 % if the conference you are submitting to favors bold math in the abstract,
461 % then you can use LaTeX's standard command \boldmath at the very start
462 % of the abstract to achieve this. Many IEEE journals/conferences frown on
463 % math in the abstract anyway.
464
465 % no keywords
466
467
468
469
470 % For peer review papers, you can put extra information on the cover
471 % page as needed:
472 % \ifCLASSOPTIONpeerreview
473 % \begin{center} \bfseries EDICS Category: 3-BBND \end{center}
474 % \fi
475 %
476 % For peerreview papers, this IEEEtran command inserts a page break and
477 % creates the second title. It will be ignored for other modes.
478 \IEEEpeerreviewmaketitle
479
480
481 \section{Introduction}
482 Hardware description languages has allowed the productivity of hardware 
483 engineers to keep pace with the development of chip technology. Standard 
484 Hardware description languages, like \VHDL~\cite{VHDL2008} and 
485 Verilog~\cite{Verilog}, allowed an engineer to describe circuits using a 
486 programming language. These standard languages are very good at describing 
487 detailed hardware properties such as timing behavior, but are generally 
488 cumbersome in expressing higher-level abstractions. In an attempt to raise the 
489 abstraction level of the descriptions, a great number of approaches based on 
490 functional languages has been proposed \cite{T-Ruby,Hydra,HML2,Hawk1,Lava,
491 ForSyDe1,Wired,reFLect}. The idea of using functional languages for hardware 
492 descriptions started in the early 1980s \cite{Cardelli1981, muFP,DAISY,FHDL}, 
493 a time which also saw the birth of the currently popular hardware description 
494 languages such as \VHDL. The merit of using a functional language to describe 
495 hardware comes from the fact that combinatorial circuits can be directly 
496 modeled as mathematical functions and that functional languages are very good 
497 at describing and composing mathematical functions.
498
499 In an attempt to decrease the amount of work involved with creating all the 
500 required tooling, such as parsers and type-checkers, many functional hardware 
501 description languages are embedded as a domain specific language inside the 
502 functional language Haskell \cite{Hydra,Hawk1,Lava,ForSyDe1,Wired}. This 
503 means that a developer is given a library of Haskell~\cite{Haskell} functions 
504 and types that together form the language primitives of the domain specific 
505 language. As a result of how the signals are modeled and abstracted, the 
506 functions used to describe a circuit also build a large domain-specific 
507 datatype (hidden from the designer) which can be further processed by an 
508 embedded compiler. This compiler actually runs in the same environment as the 
509 description; as a result compile-time and run-time become hard to define, as 
510 the embedded compiler is usually compiled by the same Haskell compiler as the 
511 circuit description itself.
512
513 The approach taken in this research is not to make another domain specific 
514 language embedded in Haskell, but to use (a subset of) the Haskell language 
515 itself for the purpose of describing hardware. By taking this approach, we can 
516 capture certain language constructs, such as Haskell's choice elements 
517 (if-constructs, case-constructs, pattern matching, etc.), which are not 
518 available in the functional hardware description languages that are embedded 
519 in Haskell as a domain specific languages. As far as the authors know, such 
520 extensive support for choice-elements is new in the domain of functional 
521 hardware description languages. As the hardware descriptions are plain Haskell 
522 functions, these descriptions can be compiled for simulation using an 
523 optimizing Haskell compiler such as the Glasgow Haskell Compiler (\GHC)~\cite{ghc}.
524
525 Where descriptions in a conventional hardware description language have an 
526 explicit clock for the purpose state and synchronicity, the clock is implied 
527 in this research. A developer describes the behavior of the hardware between 
528 clock cycles, as such, only synchronous systems can be described. Many 
529 functional hardware description model signals as a stream of all values over 
530 time; state is then modeled as a delay on this stream of values. The approach 
531 taken in this research is to make the current state of a circuit part of the 
532 input of the function and the updated state part of the output.
533
534 Like the standard hardware description languages, descriptions made in a 
535 functional hardware description language must eventually be converted into a 
536 netlist. This research also features a prototype translator called \CLaSH\ 
537 (pronounced: clash), which converts the Haskell code to equivalently behaving 
538 synthesizable \VHDL\ code, ready to be converted to an actual netlist format 
539 by an (optimizing) \VHDL\ synthesis tool.
540
541 \section{Hardware description in Haskell}
542
543   \subsection{Function application}
544     The basic syntactic elements of a functional program are functions
545     and function application. These have a single obvious translation to a 
546     netlist format: 
547     \begin{inparaenum}
548       \item every function is translated to a component, 
549       \item every function argument is translated to an input port,
550       \item the result value of a function is translated to an output port, 
551             and
552       \item function applications are translated to component instantiations.
553     \end{inparaenum} 
554     The output port can have a complex type (such as a tuple), so having just 
555     a single output port does not pose any limitation. The arguments of a 
556     function applications are assigned to a signal, which are then mapped to
557     the corresponding input ports of the component. The output port of the 
558     function is also mapped to a signal, which is used as the result of the 
559     application itself.
560
561     Since every top level function generates its own component, the
562     hierarchy of function calls is reflected in the final netlist,% aswell, 
563     creating a hierarchical description of the hardware. This separation in 
564     different components makes the resulting \VHDL\ output easier to read and 
565     debug.
566
567     As an example we can see the netlist of the |mac| function in
568     \Cref{img:mac-comb}; the |mac| function applies both the |mul| and |add|
569     function to calculate $a * b + c$:
570     
571     \begin{code}
572     mac a b c = add (mul a b) c
573     \end{code}
574     
575     \begin{figure}
576     \centerline{\includegraphics{mac.svg}}
577     \caption{Combinatorial Multiply-Accumulate}
578     \label{img:mac-comb}
579     \end{figure}
580     
581     The result of using a complex input type can be seen in 
582     \cref{img:mac-comb-nocurry} where the |mac| function now uses a single
583     input tuple for the |a|, |b|, and |c| arguments:
584     
585     \begin{code}
586     mac (a, b, c) = add (mul a b) c
587     \end{code}
588     
589     \begin{figure}
590     \centerline{\includegraphics{mac-nocurry.svg}}
591     \caption{Combinatorial Multiply-Accumulate (complex input)}
592     \label{img:mac-comb-nocurry}
593     \end{figure}
594
595   \subsection{Choice}
596     In Haskell, choice can be achieved by a large set of language constructs, 
597     consisting of: \hs{case} constructs, \hs{if-then-else} constructs, 
598     pattern matching, and guards. The easiest of these are the \hs{case} 
599     constructs (\hs{if} expressions can be very directly translated to 
600     \hs{case} expressions). A \hs{case} construct is translated to a 
601     multiplexer, where the control value is linked to the selection port and 
602     the  output of each case is linked to the corresponding input port on the 
603     multiplexer.
604     % A \hs{case} expression can in turn simply be translated to a conditional 
605     % assignment in \VHDL, where the conditions use equality comparisons 
606     % against the constructors in the \hs{case} expressions. 
607     We can see two versions of a contrived example below, the first 
608     using a \hs{case} construct and the other using a \hs{if-then-else} 
609     constructs, in the code below. The example sums two values when they are 
610     equal or non-equal (depending on the predicate given) and returns 0 
611     otherwise. Both versions of the example roughly correspond to the same 
612     netlist, which is depicted in \Cref{img:choice}.
613     
614     \begin{code}
615     sumif pred a b = case pred of
616       Eq ->   case a == b of
617         True    -> a + b
618         False   -> 0
619       Neq ->  case a != b of
620         True    -> a + b
621         False   -> 0
622     \end{code}
623
624     \begin{code}
625     sumif pred a b = 
626       if pred == Eq then 
627         if a == b then a + b else 0
628       else 
629         if a != b then a + b else 0
630     \end{code}
631
632     \begin{figure}
633     \centerline{\includegraphics{choice-case.svg}}
634     \caption{Choice - sumif}
635     \label{img:choice}
636     \end{figure}
637
638     A slightly more complex (but very powerful) form of choice is pattern 
639     matching. A function can be defined in multiple clauses, where each clause 
640     specifies a pattern. When the arguments match the pattern, the 
641     corresponding clause will be used. Expressions can also contain guards, 
642     where the expression is only executed if the guard evaluates to true. Like 
643     \hs{if-then-else} constructs, pattern matching and guards have a 
644     (straightforward) translation to \hs{case} constructs and can as such be 
645     mapped to multiplexers. A third version of the earlier example, using both 
646     pattern matching and guards, can be seen below. The version using pattern 
647     matching and guards also has roughly the same netlist representation 
648     (\Cref{img:choice}) as the earlier two versions of the example.
649     
650     \begin{code}
651     sumif Eq a b    | a == b = a + b
652     sumif Neq a b   | a != b = a + b
653     sumif _ _ _     = 0
654     \end{code}
655
656     % \begin{figure}
657     % \centerline{\includegraphics{choice-ifthenelse}}
658     % \caption{Choice - \emph{if-then-else}}
659     % \label{img:choice}
660     % \end{figure}
661
662   \subsection{Types}
663     Haskell is a statically-typed language, meaning that the type of a 
664     variable or function is determined at compile-time. Not all of Haskell's 
665     typing constructs have a clear translation to hardware, as such this 
666     section will only deal with the types that do have a clear correspondence 
667     to hardware. The translatable types are divided into two categories: 
668     \emph{built-in} types and \emph{user-defined} types. Built-in types are 
669     those types for which a direct translation is defined within the \CLaSH\ 
670     compiler; the term user-defined types should not require any further 
671     elaboration. The translatable types are also inferable by the compiler, 
672     meaning that a developer does not have to annotate every function with a 
673     type signature.
674   
675     % Translation of two most basic functional concepts has been
676     % discussed: function application and choice. Before looking further
677     % into less obvious concepts like higher-order expressions and
678     % polymorphism, the possible types that can be used in hardware
679     % descriptions will be discussed.
680     % 
681     % Some way is needed to translate every value used to its hardware
682     % equivalents. In particular, this means a hardware equivalent for
683     % every \emph{type} used in a hardware description is needed.
684     % 
685     % The following types are \emph{built-in}, meaning that their hardware
686     % translation is fixed into the \CLaSH\ compiler. A designer can also
687     % define his own types, which will be translated into hardware types
688     % using translation rules that are discussed later on.
689
690   \subsubsection{Built-in types}
691     The following types have direct translation defined within the \CLaSH\
692     compiler:
693     \begin{xlist}
694       \item[\bf{Bit}]
695         This is the most basic type available. It can have two values:
696         \hs{Low} and \hs{High}. 
697         % It is mapped directly onto the \texttt{std\_logic} \VHDL\ type. 
698       \item[\bf{Bool}]
699         This is a basic logic type. It can have two values: \hs{True}
700         and \hs{False}. 
701         % It is translated to \texttt{std\_logic} exactly like the \hs{Bit} 
702         % type (where a value of \hs{True} corresponds to a value of 
703         % \hs{High}). 
704         Supporting the Bool type is required in order to support the
705         \hs{if-then-else} construct, which requires a \hs{Bool} value for 
706         the condition.
707       \item[\bf{SizedWord}, \bf{SizedInt}]
708         These are types to represent integers. A \hs{SizedWord} is unsigned,
709         while a \hs{SizedInt} is signed. Both are parametrizable in their 
710         size. 
711         % , so you can define an unsigned word of 32 bits wide as follows:
712
713         % \begin{code}
714         % type Word32 = SizedWord D32
715         % \end{code}
716
717         % Here, a type synonym \hs{Word32} is defined that is equal to the
718         % \hs{SizedWord} type constructor applied to the type \hs{D32}. 
719         % \hs{D32} is the \emph{type level representation} of the decimal 
720         % number 32, making the \hs{Word32} type a 32-bit unsigned word. These 
721         % types are translated to the \VHDL\ \texttt{unsigned} and 
722         % \texttt{signed} respectively.
723       \item[\bf{Vector}]
724         This is a vector type that can contain elements of any other type and
725         has a fixed length. The \hs{Vector} type constructor takes two type 
726         arguments: the length of the vector and the type of the elements 
727         contained in it. The short-hand notation used for the vector type in  
728         the rest of paper is: \hs{[a|n]}. Where the \hs{a} is the element 
729         type, and \hs{n} is the length of the vector.
730         % The state type of an 8 element register bank would then for example 
731         % be:
732
733         % \begin{code}
734         % type RegisterState = Vector D8 Word32
735         % \end{code}
736
737         % Here, a type synonym \hs{RegisterState} is defined that is equal to
738         % the \hs{Vector} type constructor applied to the types \hs{D8} (The 
739         % type level representation of the decimal number 8) and \hs{Word32} 
740         % (The 32 bit word type as defined above). In other words, the 
741         % \hs{RegisterState} type is a vector of 8 32-bit words. A fixed size 
742         % vector is translated to a \VHDL\ array type.
743       \item[\bf{Index}]
744         This is another type to describe integers, but unlike the previous
745         two it has no specific bit-width, but an upper bound. This means that
746         its range is not limited to powers of two, but can be any number.
747         An \hs{Index} only has an upper bound, its lower bound is
748         implicitly zero. The main purpose of the \hs{Index} type is to be 
749         used as an index to a \hs{Vector}.
750
751         % \comment{TODO: Perhaps remove this example?} To define an index for 
752         % the 8 element vector above, we would do:
753
754         % \begin{code}
755         % type RegisterIndex = RangedWord D7
756         % \end{code}
757
758         % Here, a type synonym \hs{RegisterIndex} is defined that is equal to
759         % the \hs{RangedWord} type constructor applied to the type \hs{D7}. In
760         % other words, this defines an unsigned word with values from
761         % 0 to 7 (inclusive). This word can be be used to index the
762         % 8 element vector \hs{RegisterState} above. This type is translated 
763         % to the \texttt{unsigned} \VHDL type.
764     \end{xlist}
765
766   \subsubsection{User-defined types}
767     There are three ways to define new types in Haskell: algebraic
768     data-types with the \hs{data} keyword, type synonyms with the \hs{type}
769     keyword and datatype renaming constructs with the \hs{newtype} keyword. 
770     \GHC\ offers a few more advanced ways to introduce types (type families,
771     existential typing, {\small{GADT}}s, etc.) which are not standard Haskell. 
772     As it is currently unclear how these advanced type constructs correspond 
773     with hardware, they are for now unsupported by the \CLaSH\ compiler
774
775     Only an algebraic datatype declaration actually introduces a
776     completely new type. Type synonyms and renaming constructs only define new 
777     names for existing types, where synonyms are completely interchangeable 
778     and renaming constructs need explicit conversions. Therefore, these do not 
779     need any particular translation, a synonym or renamed type will just use 
780     the same representation as the original type. For algebraic types, we can 
781     make the following distinctions: 
782
783     \begin{xlist}
784       \item[\bf{Single constructor}]
785         Algebraic datatypes with a single constructor with one or more
786         fields, are essentially a way to pack a few values together in a
787         record-like structure. Haskell's built-in tuple types are also defined 
788         as single constructor algebraic types  An example of a single 
789         constructor type is the following pair of integers:
790         \begin{code}
791         data IntPair = IntPair Int Int
792         \end{code}
793         % These types are translated to \VHDL\ record types, with one field 
794         % for every field in the constructor.
795       \item[\bf{No fields}]
796         Algebraic datatypes with multiple constructors, but without any
797         fields are essentially a way to get an enumeration-like type
798         containing alternatives. Note that Haskell's \hs{Bool} type is also 
799         defined as an enumeration type, but we have a fixed translation for 
800         that. An example of such an enum type is the type that represents the
801         colors in a traffic light:
802         \begin{code}
803         data TrafficLight = Red | Orange | Green
804         \end{code}
805         % These types are translated to \VHDL\ enumerations, with one 
806         % value for each constructor. This allows references to these 
807         % constructors to be translated to the corresponding enumeration 
808         % value.
809       \item[\bf{Multiple constructors with fields}]
810         Algebraic datatypes with multiple constructors, where at least
811         one of these constructors has one or more fields are not
812         currently supported.
813     \end{xlist}
814
815   \subsection{Polymorphism}
816     A powerful construct in most functional languages is polymorphism, it 
817     allows a function to handle values of different data types in a uniform 
818     way. Haskell supports \emph{parametric polymorphism}~\cite{polymorphism}, 
819     meaning functions can be written without mention of any specific type and 
820     can be used transparently with any number of new types.
821
822     As an example of a parametric polymorphic function, consider the type of 
823     the following \hs{append} function, which appends an element to a vector:
824     \begin{code}
825     append :: [a|n] -> a -> [a|n + 1]
826     \end{code}
827
828     This type is parameterized by \hs{a}, which can contain any type at
829     all. This means that \hs{append} can append an element to a vector,
830     regardless of the type of the elements in the list (as long as the type of 
831     the value to be added is of the same type as the values in the vector). 
832     This kind of polymorphism is extremely useful in hardware designs to make 
833     operations work on a vector without knowing exactly what elements are 
834     inside, routing signals without knowing exactly what kinds of signals 
835     these are, or working with a vector without knowing exactly how long it 
836     is. Polymorphism also plays an important role in most higher order 
837     functions, as we will see in the next section.
838
839     Another type of polymorphism is \emph{ad-hoc 
840     polymorphism}~\cite{polymorphism}, which refers to polymorphic 
841     functions which can be applied to arguments of different types, but which 
842     behave differently depending on the type of the argument to which they are 
843     applied. In Haskell, ad-hoc polymorphism is achieved through the use of 
844     type classes, where a class definition provides the general interface of a 
845     function, and class instances define the functionality for the specific 
846     types. An example of such a type class is the \hs{Num} class, which 
847     contains all of Haskell's numerical operations. A developer can make use 
848     of this ad-hoc polymorphism by adding a constraint to a parametrically 
849     polymorphic type variable. Such a constraint indicates that the type 
850     variable can only be instantiated to a type whose members supports the 
851     overloaded functions associated with the type class. 
852     
853     As an example we will take a look at type signature of the function 
854     \hs{sum}, which sums the values in a vector:
855     \begin{code}
856     sum :: Num a => [a|n] -> a
857     \end{code}
858
859     This type is again parameterized by \hs{a}, but it can only contain
860     types that are \emph{instances} of the \emph{type class} \hs{Num}, so that  
861     we know that the addition (+) operator is defined for that type. 
862     \CLaSH's built-in numerical types are also instances of the \hs{Num}
863     class, so we can use the addition operator on \hs{SizedWords} as
864     well as on \hs{SizedInts}.
865
866     In \CLaSH, parametric polymorphism is completely supported. Any function 
867     defined can have any number of unconstrained type parameters. The \CLaSH\ 
868     compiler will infer the type of every such argument depending on how the 
869     function is applied. There is one exception to this: The top level 
870     function that is translated, can not have any polymorphic arguments (as 
871     they are never applied, so there is no way to find out the actual types 
872     for the type parameters).
873
874     \CLaSH\ does not support user-defined type classes, but does use some
875     of the built-in type classes for its built-in function, such as: \hs{Num} 
876     for numerical operations, \hs{Eq} for the equality operators, and
877     \hs{Ord} for the comparison/order operators.
878
879   \subsection{Higher-order functions \& values}
880     Another powerful abstraction mechanism in functional languages, is
881     the concept of \emph{higher-order functions}, or \emph{functions as
882     a first class value}. This allows a function to be treated as a
883     value and be passed around, even as the argument of another
884     function. The following example should clarify this concept:
885     
886     \begin{code}
887     negVector xs = map not xs
888     \end{code}
889
890     The code above defines a function \hs{negVector}, which takes a vector of
891     booleans, and returns a vector where all the values are negated. It 
892     achieves this by calling the \hs{map} function, and passing it 
893     \emph{another function}, boolean negation, and the vector of booleans, 
894     \hs{xs}. The \hs{map} function applies the negation function to all the 
895     elements in the vector.
896
897     The \hs{map} function is called a higher-order function, since it takes 
898     another function as an argument. Also note that \hs{map} is again a 
899     parametric polymorphic function: It does not pose any constraints on the 
900     type of the vector elements, other than that it must be the same type as 
901     the input type of the function passed to \hs{map}. The element type of the 
902     resulting vector is equal to the return type of the function passed, which 
903     need not necessarily be the same as the element type of the input vector. 
904     All of these characteristics  can readily be inferred from the type 
905     signature belonging to \hs{map}:
906
907     \begin{code}
908     map :: (a -> b) -> [a|n] -> [b|n]
909     \end{code}
910
911     So far, only functions have been used as higher-order values. In
912     Haskell, there are two more ways to obtain a function-typed value:
913     partial application and lambda abstraction. Partial application
914     means that a function that takes multiple arguments can be applied
915     to a single argument, and the result will again be a function (but
916     that takes one argument less). As an example, consider the following
917     expression, that adds one to every element of a vector:
918
919     \begin{code}
920     map ((+) 1) xs
921     \end{code}
922
923     Here, the expression \hs{(+) 1} is the partial application of the
924     plus operator to the value \hs{1}, which is again a function that
925     adds one to its argument. A lambda expression allows one to introduce an 
926     anonymous function in any expression. Consider the following expression, 
927     which again adds one to every element of a vector:
928
929     \begin{code}
930     map (\x -> x + 1) xs
931     \end{code}
932
933     Finally, higher order arguments are not limited to just built-in
934     functions, but any function defined in \CLaSH\ can have function
935     arguments. This allows the hardware designer to use a powerful
936     abstraction mechanism in his designs and have an optimal amount of
937     code reuse.
938
939     \comment{TODO: Describe ALU example (no code)}
940
941   \subsection{State}
942     A very important concept in hardware it the concept of state. In a 
943     stateful design, the outputs depend on the history of the inputs, or the 
944     state. State is usually stored in registers, which retain their value 
945     during a clock cycle. As we want to describe more than simple 
946     combinatorial designs, \CLaSH\ needs an abstraction mechanism for state.
947
948     An important property in Haskell, and in most other functional languages, 
949     is \emph{purity}. A function is said to be \emph{pure} if it satisfies two
950     conditions:
951     \begin{inparaenum}
952       \item given the same arguments twice, it should return the same value in 
953       both cases, and
954       \item when the function is called, it should not have observable 
955       side-effects.
956     \end{inparaenum}
957     % This purity property is important for functional languages, since it 
958     % enables all kinds of mathematical reasoning that could not be guaranteed 
959     % correct for impure functions. 
960     Pure functions are as such a perfect match or a combinatorial circuit, 
961     where the output solely depends on the  inputs. When a circuit has state 
962     however, it can no longer be simply described by a pure function. 
963     % Simply removing the purity property is not a valid option, as the 
964     % language would then lose many of it mathematical properties. 
965     In an effort to include the concept of state in pure 
966     functions, the current value of the state is made an argument of the  
967     function; the updated state becomes part of the result. In this sense the
968     descriptions made in \CLaSH are the describing the combinatorial parts of 
969     a mealy machine.
970     
971     A simple example is adding an accumulator register to the earlier 
972     multiply-accumulate circuit, of which the resulting netlist can be seen in 
973     \Cref{img:mac-state}:
974     
975     \begin{code}
976     macS (State c) a b = (State c', outp)
977       where
978         outp  = mac a b c
979         c'    = outp
980     \end{code}
981     
982     \begin{figure}
983     \centerline{\includegraphics{mac-state.svg}}
984     \caption{Stateful Multiply-Accumulate}
985     \label{img:mac-state}
986     \end{figure}
987     
988     The \hs{State} keyword indicates which arguments are part of the current 
989     state, and what part of the output is part of the updated state. This 
990     aspect will also reflected in the type signature of the function. 
991     Abstracting the state of a circuit in this way makes it very explicit: 
992     which variables are part of the state is completely determined by the 
993     type signature. This approach to state is well suited to be used in 
994     combination with the existing code and language features, such as all the 
995     choice constructs, as state values are just normal values. We can simulate 
996     stateful descriptions using the recursive \hs{run} function:
997     
998     \begin{code}
999     run f s (i:inps) = o : (run f s' inps)
1000       where
1001         (s', o) = f s i
1002     \end{code}
1003     
1004     The \hs{run} function maps a list of inputs over the function that a 
1005     developer wants to simulate, passing the state to each new iteration. Each
1006     value in the input list corresponds to exactly one cycle of the (implicit) 
1007     clock. The result of the simulation is a list of outputs for every clock
1008     cycle. As both the \hs{run} function and the hardware description are 
1009     plain hardware, the complete simulation can be compiled by an optimizing
1010     Haskell compiler.
1011     
1012 \section{\CLaSH\ prototype}
1013
1014 foo\par bar
1015
1016 \section{Use cases}
1017 As an example of a common hardware design where the use of higher-order
1018 functions leads to a very natural description is a FIR filter, which is 
1019 basically the dot-product of two vectors:
1020
1021 \begin{equation}
1022 y_t  = \sum\nolimits_{i = 0}^{n - 1} {x_{t - i}  \cdot h_i } 
1023 \end{equation}
1024
1025 A FIR filter multiplies fixed constants ($h$) with the current 
1026 and a few previous input samples ($x$). Each of these multiplications
1027 are summed, to produce the result at time $t$. The equation of a FIR 
1028 filter is indeed equivalent to the equation of the dot-product, which is 
1029 shown below:
1030
1031 \begin{equation}
1032 \mathbf{x}\bullet\mathbf{y} = \sum\nolimits_{i = 0}^{n - 1} {x_i \cdot y_i } 
1033 \end{equation}
1034
1035 We can easily and directly implement the equation for the dot-product
1036 using higher-order functions:
1037
1038 \begin{code}
1039 xs *+* ys = foldl1 (+) (zipWith (*) xs hs)
1040 \end{code}
1041
1042 The \hs{zipWith} function is very similar to the \hs{map} function seen 
1043 earlier: It takes a function, two vectors, and then applies the function to 
1044 each of the elements in the two vectors pairwise (\emph{e.g.}, \hs{zipWith (*) 
1045 [1, 2] [3, 4]} becomes \hs{[1 * 3, 2 * 4]} $\equiv$ \hs{[3,8]}).
1046
1047 The \hs{foldl1} function takes a function, a single vector, and applies 
1048 the function to the first two elements of the vector. It then applies the
1049 function to the result of the first application and the next element from 
1050 the vector. This continues until the end of the vector is reached. The 
1051 result of the \hs{foldl1} function is the result of the last application.
1052 As you can see, the \hs{zipWith (*)} function is just pairwise 
1053 multiplication and the \hs{foldl1 (+)} function is just summation.
1054
1055 Returning to the actual FIR filter, we will slightly change the
1056 equation belong to it, so as to make the translation to code more obvious.
1057 What we will do is change the definition of the vector of input samples.
1058 So, instead of having the input sample received at time
1059 $t$ stored in $x_t$, $x_0$ now always stores the current sample, and $x_i$
1060 stores the $ith$ previous sample. This changes the equation to the
1061 following (Note that this is completely equivalent to the original
1062 equation, just with a different definition of $x$ that will better suit
1063 the transformation to code):
1064
1065 \begin{equation}
1066 y_t  = \sum\nolimits_{i = 0}^{n - 1} {x_i  \cdot h_i } 
1067 \end{equation}
1068
1069 Consider that the vector \hs{hs} contains the FIR coefficients and the 
1070 vector \hs{xs} contains the current input sample in front and older 
1071 samples behind. The function that shifts the input samples is shown below:
1072
1073 \begin{code}
1074 x >> xs = x +> tail xs  
1075 \end{code}
1076
1077 Where the \hs{tail} function returns all but the first element of a 
1078 vector, and the concatenate operator ($\succ$) adds a new element to the 
1079 left of a vector. The complete definition of the FIR filter then becomes:
1080
1081 \begin{code}
1082 fir (State (xs,hs)) x = (State (x >> xs,hs), xs *+* hs)
1083 \end{code}
1084
1085 The resulting netlist of a 4-taps FIR filter based on the above definition
1086 is depicted in \Cref{img:4tapfir}.
1087
1088 \begin{figure}
1089 \centerline{\includegraphics{4tapfir.svg}}
1090 \caption{4-taps FIR Filter}
1091 \label{img:4tapfir}
1092 \end{figure}
1093
1094 \section{Related work}
1095 Many functional hardware description languages have been developed over the 
1096 years. Early work includes such languages as $\mu$\acro{FP}~\cite{muFP}, an 
1097 extension of Backus' \acro{FP} language to synchronous streams, designed 
1098 particularly for describing and reasoning about regular circuits. The 
1099 Ruby~\cite{Ruby} language uses relations, instead of functions, to describe 
1100 circuits, and has a particular focus on layout. 
1101
1102 \acro{HML}~\cite{HML2} is a hardware modeling language based on the strict 
1103 functional language \acro{ML}, and has support for polymorphic types and 
1104 higher-order functions. Published work suggests that there is no direct 
1105 simulation support for \acro{HML}, but that a description in \acro{HML} has to 
1106 be translated to \VHDL\ and that the translated description can than be 
1107 simulated in a \VHDL\ simulator. Also not all of the mentioned language 
1108 features of \acro{HML} could be translated to hardware. The \CLaSH\ compiler 
1109 on the other hand can correctly translate all of the language constructs 
1110 mentioned in this paper to a netlist format.
1111
1112 Like this work, many functional hardware description languages have some sort 
1113 of foundation in the functional programming language Haskell. 
1114 Hawk~\cite{Hawk1} uses Haskell to describe system-level executable 
1115 specifications used to model the behavior of superscalar microprocessors. Hawk 
1116 specifications can be simulated, but there seems to be no support for 
1117 automated circuit synthesis. 
1118
1119 The ForSyDe~\cite{ForSyDe2} system uses Haskell to specify abstract system 
1120 models, which can (manually) be transformed into an implementation model using 
1121 semantic preserving transformations. A designer can model systems using 
1122 heterogeneous models of computation, which include continuous time, 
1123 synchronous and untimed models of computation. Using so-called domain 
1124 interfaces a designer can simulate electronic systems which have both analog 
1125 as digital parts. ForSyDe has several simulation and  synthesis backends, 
1126 though synthesis is restricted to the synchronous subset of the ForSyDe 
1127 language. Unlike \CLaSH\ there is no support for the automated synthesis of description that contain polymorphism or higher-order functions.
1128
1129 Lava~\cite{Lava} is a hardware description language that focuses on the 
1130 structural representation of hardware. Besides support for simulation and 
1131 circuit synthesis, Lava descriptions can be interfaced with formal method 
1132 tools for formal verification. Lava descriptions are actually circuit 
1133 generators when viewed from a synthesis viewpoint, in that the language 
1134 elements of Haskell, such as choice, can be used to guide the circuit 
1135 generation. If a developer wants to insert a choice element inside an actual 
1136 circuit he will have to specify this explicitly as a component. 
1137
1138 In this respect \CLaSH\ differs from Lava, in that all the choice elements, 
1139 such as case-statements and pattern matching, are synthesized to choice 
1140 elements in the eventual circuit. As such, richer control structures can both 
1141 be specified and synthesized in \CLaSH\ compared to any of the languages 
1142 mentioned in this section.
1143
1144 The merits of polymorphic typing, combined with higher-order functions, are 
1145 now also recognized in the `main-stream' hardware description languages, 
1146 exemplified by the new \VHDL-2008 standard~\cite{VHDL2008}. \VHDL-2008 has 
1147 support to specify types as generics, thus allowing a developer to describe 
1148 polymorphic components. Note that those types still require an explicit 
1149 generic map, whereas type-inference and type-specialization are implicit in 
1150 \CLaSH.
1151
1152 % Wired~\cite{Wired},, T-Ruby~\cite{T-Ruby}, Hydra~\cite{Hydra}. 
1153
1154 % A functional language designed specifically for hardware design is 
1155 % $re{\mathit{FL}}^{ect}$~\cite{reFLect}, which draws experience from earlier 
1156 % language called \acro{FL}~\cite{FL} to la
1157
1158 % An example of a floating figure using the graphicx package.
1159 % Note that \label must occur AFTER (or within) \caption.
1160 % For figures, \caption should occur after the \includegraphics.
1161 % Note that IEEEtran v1.7 and later has special internal code that
1162 % is designed to preserve the operation of \label within \caption
1163 % even when the captionsoff option is in effect. However, because
1164 % of issues like this, it may be the safest practice to put all your
1165 % \label just after \caption rather than within \caption{}.
1166 %
1167 % Reminder: the "draftcls" or "draftclsnofoot", not "draft", class
1168 % option should be used if it is desired that the figures are to be
1169 % displayed while in draft mode.
1170 %
1171 %\begin{figure}[!t]
1172 %\centering
1173 %\includegraphics[width=2.5in]{myfigure}
1174 % where an .eps filename suffix will be assumed under latex, 
1175 % and a .pdf suffix will be assumed for pdflatex; or what has been declared
1176 % via \DeclareGraphicsExtensions.
1177 %\caption{Simulation Results}
1178 %\label{fig_sim}
1179 %\end{figure}
1180
1181 % Note that IEEE typically puts floats only at the top, even when this
1182 % results in a large percentage of a column being occupied by floats.
1183
1184
1185 % An example of a double column floating figure using two subfigures.
1186 % (The subfig.sty package must be loaded for this to work.)
1187 % The subfigure \label commands are set within each subfloat command, the
1188 % \label for the overall figure must come after \caption.
1189 % \hfil must be used as a separator to get equal spacing.
1190 % The subfigure.sty package works much the same way, except \subfigure is
1191 % used instead of \subfloat.
1192 %
1193 %\begin{figure*}[!t]
1194 %\centerline{\subfloat[Case I]\includegraphics[width=2.5in]{subfigcase1}%
1195 %\label{fig_first_case}}
1196 %\hfil
1197 %\subfloat[Case II]{\includegraphics[width=2.5in]{subfigcase2}%
1198 %\label{fig_second_case}}}
1199 %\caption{Simulation results}
1200 %\label{fig_sim}
1201 %\end{figure*}
1202 %
1203 % Note that often IEEE papers with subfigures do not employ subfigure
1204 % captions (using the optional argument to \subfloat), but instead will
1205 % reference/describe all of them (a), (b), etc., within the main caption.
1206
1207
1208 % An example of a floating table. Note that, for IEEE style tables, the 
1209 % \caption command should come BEFORE the table. Table text will default to
1210 % \footnotesize as IEEE normally uses this smaller font for tables.
1211 % The \label must come after \caption as always.
1212 %
1213 %\begin{table}[!t]
1214 %% increase table row spacing, adjust to taste
1215 %\renewcommand{\arraystretch}{1.3}
1216 % if using array.sty, it might be a good idea to tweak the value of
1217 % \extrarowheight as needed to properly center the text within the cells
1218 %\caption{An Example of a Table}
1219 %\label{table_example}
1220 %\centering
1221 %% Some packages, such as MDW tools, offer better commands for making tables
1222 %% than the plain LaTeX2e tabular which is used here.
1223 %\begin{tabular}{|c||c|}
1224 %\hline
1225 %One & Two\\
1226 %\hline
1227 %Three & Four\\
1228 %\hline
1229 %\end{tabular}
1230 %\end{table}
1231
1232
1233 % Note that IEEE does not put floats in the very first column - or typically
1234 % anywhere on the first page for that matter. Also, in-text middle ("here")
1235 % positioning is not used. Most IEEE journals/conferences use top floats
1236 % exclusively. Note that, LaTeX2e, unlike IEEE journals/conferences, places
1237 % footnotes above bottom floats. This can be corrected via the \fnbelowfloat
1238 % command of the stfloats package.
1239
1240
1241
1242 \section{Conclusion}
1243 The conclusion goes here.
1244
1245
1246
1247
1248 % conference papers do not normally have an appendix
1249
1250
1251 % use section* for acknowledgement
1252 \section*{Acknowledgment}
1253
1254
1255 The authors would like to thank...
1256
1257
1258
1259
1260
1261 % trigger a \newpage just before the given reference
1262 % number - used to balance the columns on the last page
1263 % adjust value as needed - may need to be readjusted if
1264 % the document is modified later
1265 %\IEEEtriggeratref{8}
1266 % The "triggered" command can be changed if desired:
1267 %\IEEEtriggercmd{\enlargethispage{-5in}}
1268
1269 % references section
1270
1271 % can use a bibliography generated by BibTeX as a .bbl file
1272 % BibTeX documentation can be easily obtained at:
1273 % http://www.ctan.org/tex-archive/biblio/bibtex/contrib/doc/
1274 % The IEEEtran BibTeX style support page is at:
1275 % http://www.michaelshell.org/tex/ieeetran/bibtex/
1276 \bibliographystyle{IEEEtran}
1277 % argument is your BibTeX string definitions and bibliography database(s)
1278 \bibliography{IEEEabrv,clash.bib}
1279 %
1280 % <OR> manually copy in the resultant .bbl file
1281 % set second argument of \begin to the number of references
1282 % (used to reserve space for the reference number labels box)
1283 % \begin{thebibliography}{1}
1284
1285 % \bibitem{IEEEhowto:kopka}
1286 % H.~Kopka and P.~W. Daly, \emph{A Guide to \LaTeX}, 3rd~ed.\hskip 1em plus
1287 %   0.5em minus 0.4em\relax Harlow, England: Addison-Wesley, 1999.
1288
1289 % \end{thebibliography}
1290
1291
1292
1293
1294 % that's all folks
1295 \end{document}
1296
1297 % vim: set ai sw=2 sts=2 expandtab: