Update some more things on function application
[matthijs/master-project/dsd-paper.git] / cλash.lhs
1
2 %% bare_conf.tex
3 %% V1.3
4 %% 2007/01/11
5 %% by Michael Shell
6 %% See:
7 %% http://www.michaelshell.org/
8 %% for current contact information.
9 %%
10 %% This is a skeleton file demonstrating the use of IEEEtran.cls
11 %% (requires IEEEtran.cls version 1.7 or later) with an IEEE conference paper.
12 %%
13 %% Support sites:
14 %% http://www.michaelshell.org/tex/ieeetran/
15 %% http://www.ctan.org/tex-archive/macros/latex/contrib/IEEEtran/
16 %% and
17 %% http://www.ieee.org/
18
19 %%*************************************************************************
20 %% Legal Notice:
21 %% This code is offered as-is without any warranty either expressed or
22 %% implied; without even the implied warranty of MERCHANTABILITY or
23 %% FITNESS FOR A PARTICULAR PURPOSE! 
24 %% User assumes all risk.
25 %% In no event shall IEEE or any contributor to this code be liable for
26 %% any damages or losses, including, but not limited to, incidental,
27 %% consequential, or any other damages, resulting from the use or misuse
28 %% of any information contained here.
29 %%
30 %% All comments are the opinions of their respective authors and are not
31 %% necessarily endorsed by the IEEE.
32 %%
33 %% This work is distributed under the LaTeX Project Public License (LPPL)
34 %% ( http://www.latex-project.org/ ) version 1.3, and may be freely used,
35 %% distributed and modified. A copy of the LPPL, version 1.3, is included
36 %% in the base LaTeX documentation of all distributions of LaTeX released
37 %% 2003/12/01 or later.
38 %% Retain all contribution notices and credits.
39 %% ** Modified files should be clearly indicated as such, including  **
40 %% ** renaming them and changing author support contact information. **
41 %%
42 %% File list of work: IEEEtran.cls, IEEEtran_HOWTO.pdf, bare_adv.tex,
43 %%                    bare_conf.tex, bare_jrnl.tex, bare_jrnl_compsoc.tex
44 %%*************************************************************************
45
46 % *** Authors should verify (and, if needed, correct) their LaTeX system  ***
47 % *** with the testflow diagnostic prior to trusting their LaTeX platform ***
48 % *** with production work. IEEE's font choices can trigger bugs that do  ***
49 % *** not appear when using other class files.                            ***
50 % The testflow support page is at:
51 % http://www.michaelshell.org/tex/testflow/
52
53
54
55 % Note that the a4paper option is mainly intended so that authors in
56 % countries using A4 can easily print to A4 and see how their papers will
57 % look in print - the typesetting of the document will not typically be
58 % affected with changes in paper size (but the bottom and side margins will).
59 % Use the testflow package mentioned above to verify correct handling of
60 % both paper sizes by the user's LaTeX system.
61 %
62 % Also note that the "draftcls" or "draftclsnofoot", not "draft", option
63 % should be used if it is desired that the figures are to be displayed in
64 % draft mode.
65 %
66
67 \documentclass[conference,pdf,a4paper,10pt,final,twoside,twocolumn]{IEEEtran}
68 % Add the compsoc option for Computer Society conferences.
69 %
70 % If IEEEtran.cls has not been installed into the LaTeX system files,
71 % manually specify the path to it like:
72 % \documentclass[conference]{../sty/IEEEtran}
73
74 % Some very useful LaTeX packages include:
75 % (uncomment the ones you want to load)
76
77 % *** MISC UTILITY PACKAGES ***
78 %
79 %\usepackage{ifpdf}
80 % Heiko Oberdiek's ifpdf.sty is very useful if you need conditional
81 % compilation based on whether the output is pdf or dvi.
82 % usage:
83 % \ifpdf
84 %   % pdf code
85 % \else
86 %   % dvi code
87 % \fi
88 % The latest version of ifpdf.sty can be obtained from:
89 % http://www.ctan.org/tex-archive/macros/latex/contrib/oberdiek/
90 % Also, note that IEEEtran.cls V1.7 and later provides a builtin
91 % \ifCLASSINFOpdf conditional that works the same way.
92 % When switching from latex to pdflatex and vice-versa, the compiler may
93 % have to be run twice to clear warning/error messages.
94
95
96
97 % *** CITATION PACKAGES ***
98 %
99 \usepackage{cite}
100 % cite.sty was written by Donald Arseneau
101 % V1.6 and later of IEEEtran pre-defines the format of the cite.sty package
102 % \cite{} output to follow that of IEEE. Loading the cite package will
103 % result in citation numbers being automatically sorted and properly
104 % "compressed/ranged". e.g., [1], [9], [2], [7], [5], [6] without using
105 % cite.sty will become [1], [2], [5]--[7], [9] using cite.sty. cite.sty's
106 % \cite will automatically add leading space, if needed. Use cite.sty's
107 % noadjust option (cite.sty V3.8 and later) if you want to turn this off.
108 % cite.sty is already installed on most LaTeX systems. Be sure and use
109 % version 4.0 (2003-05-27) and later if using hyperref.sty. cite.sty does
110 % not currently provide for hyperlinked citations.
111 % The latest version can be obtained at:
112 % http://www.ctan.org/tex-archive/macros/latex/contrib/cite/
113 % The documentation is contained in the cite.sty file itself.
114
115
116
117
118
119
120 % *** GRAPHICS RELATED PACKAGES ***
121 %
122 \ifCLASSINFOpdf
123   \usepackage[pdftex]{graphicx}
124   % declare the path(s) where your graphic files are
125   % \graphicspath{{../pdf/}{../jpeg/}}
126   % and their extensions so you won't have to specify these with
127   % every instance of \includegraphics
128   % \DeclareGraphicsExtensions{.pdf,.jpeg,.png}
129 \else
130   % or other class option (dvipsone, dvipdf, if not using dvips). graphicx
131   % will default to the driver specified in the system graphics.cfg if no
132   % driver is specified.
133   % \usepackage[dvips]{graphicx}
134   % declare the path(s) where your graphic files are
135   % \graphicspath{{../eps/}}
136   % and their extensions so you won't have to specify these with
137   % every instance of \includegraphics
138   % \DeclareGraphicsExtensions{.eps}
139 \fi
140 % graphicx was written by David Carlisle and Sebastian Rahtz. It is
141 % required if you want graphics, photos, etc. graphicx.sty is already
142 % installed on most LaTeX systems. The latest version and documentation can
143 % be obtained at: 
144 % http://www.ctan.org/tex-archive/macros/latex/required/graphics/
145 % Another good source of documentation is "Using Imported Graphics in
146 % LaTeX2e" by Keith Reckdahl which can be found as epslatex.ps or
147 % epslatex.pdf at: http://www.ctan.org/tex-archive/info/
148 %
149 % latex, and pdflatex in dvi mode, support graphics in encapsulated
150 % postscript (.eps) format. pdflatex in pdf mode supports graphics
151 % in .pdf, .jpeg, .png and .mps (metapost) formats. Users should ensure
152 % that all non-photo figures use a vector format (.eps, .pdf, .mps) and
153 % not a bitmapped formats (.jpeg, .png). IEEE frowns on bitmapped formats
154 % which can result in "jaggedy"/blurry rendering of lines and letters as
155 % well as large increases in file sizes.
156 %
157 % You can find documentation about the pdfTeX application at:
158 % http://www.tug.org/applications/pdftex
159
160
161
162
163
164 % *** MATH PACKAGES ***
165 %
166 %\usepackage[cmex10]{amsmath}
167 % A popular package from the American Mathematical Society that provides
168 % many useful and powerful commands for dealing with mathematics. If using
169 % it, be sure to load this package with the cmex10 option to ensure that
170 % only type 1 fonts will utilized at all point sizes. Without this option,
171 % it is possible that some math symbols, particularly those within
172 % footnotes, will be rendered in bitmap form which will result in a
173 % document that can not be IEEE Xplore compliant!
174 %
175 % Also, note that the amsmath package sets \interdisplaylinepenalty to 10000
176 % thus preventing page breaks from occurring within multiline equations. Use:
177 %\interdisplaylinepenalty=2500
178 % after loading amsmath to restore such page breaks as IEEEtran.cls normally
179 % does. amsmath.sty is already installed on most LaTeX systems. The latest
180 % version and documentation can be obtained at:
181 % http://www.ctan.org/tex-archive/macros/latex/required/amslatex/math/
182
183
184
185
186
187 % *** SPECIALIZED LIST PACKAGES ***
188 %
189 %\usepackage{algorithmic}
190 % algorithmic.sty was written by Peter Williams and Rogerio Brito.
191 % This package provides an algorithmic environment fo describing algorithms.
192 % You can use the algorithmic environment in-text or within a figure
193 % environment to provide for a floating algorithm. Do NOT use the algorithm
194 % floating environment provided by algorithm.sty (by the same authors) or
195 % algorithm2e.sty (by Christophe Fiorio) as IEEE does not use dedicated
196 % algorithm float types and packages that provide these will not provide
197 % correct IEEE style captions. The latest version and documentation of
198 % algorithmic.sty can be obtained at:
199 % http://www.ctan.org/tex-archive/macros/latex/contrib/algorithms/
200 % There is also a support site at:
201 % http://algorithms.berlios.de/index.html
202 % Also of interest may be the (relatively newer and more customizable)
203 % algorithmicx.sty package by Szasz Janos:
204 % http://www.ctan.org/tex-archive/macros/latex/contrib/algorithmicx/
205
206
207
208
209 % *** ALIGNMENT PACKAGES ***
210 %
211 %\usepackage{array}
212 % Frank Mittelbach's and David Carlisle's array.sty patches and improves
213 % the standard LaTeX2e array and tabular environments to provide better
214 % appearance and additional user controls. As the default LaTeX2e table
215 % generation code is lacking to the point of almost being broken with
216 % respect to the quality of the end results, all users are strongly
217 % advised to use an enhanced (at the very least that provided by array.sty)
218 % set of table tools. array.sty is already installed on most systems. The
219 % latest version and documentation can be obtained at:
220 % http://www.ctan.org/tex-archive/macros/latex/required/tools/
221
222
223 %\usepackage{mdwmath}
224 %\usepackage{mdwtab}
225 % Also highly recommended is Mark Wooding's extremely powerful MDW tools,
226 % especially mdwmath.sty and mdwtab.sty which are used to format equations
227 % and tables, respectively. The MDWtools set is already installed on most
228 % LaTeX systems. The lastest version and documentation is available at:
229 % http://www.ctan.org/tex-archive/macros/latex/contrib/mdwtools/
230
231
232 % IEEEtran contains the IEEEeqnarray family of commands that can be used to
233 % generate multiline equations as well as matrices, tables, etc., of high
234 % quality.
235
236
237 %\usepackage{eqparbox}
238 % Also of notable interest is Scott Pakin's eqparbox package for creating
239 % (automatically sized) equal width boxes - aka "natural width parboxes".
240 % Available at:
241 % http://www.ctan.org/tex-archive/macros/latex/contrib/eqparbox/
242
243
244
245
246
247 % *** SUBFIGURE PACKAGES ***
248 %\usepackage[tight,footnotesize]{subfigure}
249 % subfigure.sty was written by Steven Douglas Cochran. This package makes it
250 % easy to put subfigures in your figures. e.g., "Figure 1a and 1b". For IEEE
251 % work, it is a good idea to load it with the tight package option to reduce
252 % the amount of white space around the subfigures. subfigure.sty is already
253 % installed on most LaTeX systems. The latest version and documentation can
254 % be obtained at:
255 % http://www.ctan.org/tex-archive/obsolete/macros/latex/contrib/subfigure/
256 % subfigure.sty has been superceeded by subfig.sty.
257
258
259
260 %\usepackage[caption=false]{caption}
261 %\usepackage[font=footnotesize]{subfig}
262 % subfig.sty, also written by Steven Douglas Cochran, is the modern
263 % replacement for subfigure.sty. However, subfig.sty requires and
264 % automatically loads Axel Sommerfeldt's caption.sty which will override
265 % IEEEtran.cls handling of captions and this will result in nonIEEE style
266 % figure/table captions. To prevent this problem, be sure and preload
267 % caption.sty with its "caption=false" package option. This is will preserve
268 % IEEEtran.cls handing of captions. Version 1.3 (2005/06/28) and later 
269 % (recommended due to many improvements over 1.2) of subfig.sty supports
270 % the caption=false option directly:
271 %\usepackage[caption=false,font=footnotesize]{subfig}
272 %
273 % The latest version and documentation can be obtained at:
274 % http://www.ctan.org/tex-archive/macros/latex/contrib/subfig/
275 % The latest version and documentation of caption.sty can be obtained at:
276 % http://www.ctan.org/tex-archive/macros/latex/contrib/caption/
277
278
279
280
281 % *** FLOAT PACKAGES ***
282 %
283 %\usepackage{fixltx2e}
284 % fixltx2e, the successor to the earlier fix2col.sty, was written by
285 % Frank Mittelbach and David Carlisle. This package corrects a few problems
286 % in the LaTeX2e kernel, the most notable of which is that in current
287 % LaTeX2e releases, the ordering of single and double column floats is not
288 % guaranteed to be preserved. Thus, an unpatched LaTeX2e can allow a
289 % single column figure to be placed prior to an earlier double column
290 % figure. The latest version and documentation can be found at:
291 % http://www.ctan.org/tex-archive/macros/latex/base/
292
293
294
295 %\usepackage{stfloats}
296 % stfloats.sty was written by Sigitas Tolusis. This package gives LaTeX2e
297 % the ability to do double column floats at the bottom of the page as well
298 % as the top. (e.g., "\begin{figure*}[!b]" is not normally possible in
299 % LaTeX2e). It also provides a command:
300 %\fnbelowfloat
301 % to enable the placement of footnotes below bottom floats (the standard
302 % LaTeX2e kernel puts them above bottom floats). This is an invasive package
303 % which rewrites many portions of the LaTeX2e float routines. It may not work
304 % with other packages that modify the LaTeX2e float routines. The latest
305 % version and documentation can be obtained at:
306 % http://www.ctan.org/tex-archive/macros/latex/contrib/sttools/
307 % Documentation is contained in the stfloats.sty comments as well as in the
308 % presfull.pdf file. Do not use the stfloats baselinefloat ability as IEEE
309 % does not allow \baselineskip to stretch. Authors submitting work to the
310 % IEEE should note that IEEE rarely uses double column equations and
311 % that authors should try to avoid such use. Do not be tempted to use the
312 % cuted.sty or midfloat.sty packages (also by Sigitas Tolusis) as IEEE does
313 % not format its papers in such ways.
314
315
316
317
318
319 % *** PDF, URL AND HYPERLINK PACKAGES ***
320 %
321 %\usepackage{url}
322 % url.sty was written by Donald Arseneau. It provides better support for
323 % handling and breaking URLs. url.sty is already installed on most LaTeX
324 % systems. The latest version can be obtained at:
325 % http://www.ctan.org/tex-archive/macros/latex/contrib/misc/
326 % Read the url.sty source comments for usage information. Basically,
327 % \url{my_url_here}.
328
329
330
331
332
333 % *** Do not adjust lengths that control margins, column widths, etc. ***
334 % *** Do not use packages that alter fonts (such as pslatex).         ***
335 % There should be no need to do such things with IEEEtran.cls V1.6 and later.
336 % (Unless specifically asked to do so by the journal or conference you plan
337 % to submit to, of course. )
338
339 % correct bad hyphenation here
340 \hyphenation{op-tical net-works semi-conduc-tor}
341
342 % Macro for certain acronyms in small caps. Doesn't work with the
343 % default font, though (it contains no smallcaps it seems).
344 \def\acro#1{{\small{#1}}}
345 \def\VHDL{\acro{VHDL}}
346 \def\GHC{\acro{GHC}}
347 \def\CLaSH{{\small{C}}$\lambda$a{\small{SH}}}
348
349 % Macro for pretty printing haskell snippets. Just monospaced for now, perhaps
350 % we'll get something more complex later on.
351 \def\hs#1{\texttt{#1}}
352 \def\quote#1{``{#1}"}
353
354 \newenvironment{xlist}[1][\rule{0em}{0em}]{%
355   \begin{list}{}{%
356     \settowidth{\labelwidth}{#1:}
357     \setlength{\labelsep}{\parindent}
358     \setlength{\leftmargin}{\labelwidth}
359     \addtolength{\leftmargin}{\labelsep}
360     \setlength{\rightmargin}{0pt}
361     \setlength{\listparindent}{\parindent}
362     \setlength{\itemsep}{0 ex plus 0.2ex}
363     \renewcommand{\makelabel}[1]{##1:\hfil}
364     }
365   }
366 {\end{list}}
367
368 \usepackage{paralist}
369 \usepackage{xcolor}
370 \def\comment#1{{\color[rgb]{1.0,0.0,0.0}{#1}}}
371
372 \usepackage{cleveref}
373 \crefname{figure}{figure}{figures}
374 \newcommand{\fref}[1]{\cref{#1}} 
375 \newcommand{\Fref}[1]{\Cref{#1}}
376
377
378 %include polycode.fmt
379 %include clash.fmt
380
381 \begin{document}
382 %
383 % paper title
384 % can use linebreaks \\ within to get better formatting as desired
385 \title{C$\lambda$aSH: Structural Descriptions \\ of Synchronous Hardware using Haskell}
386
387
388 % author names and affiliations
389 % use a multiple column layout for up to three different
390 % affiliations
391 \author{\IEEEauthorblockN{Christiaan P.R. Baaij, Matthijs Kooijman, Jan Kuper, Marco E.T. Gerards, Bert Molenkamp, Sabih H. Gerez}
392 \IEEEauthorblockA{University of Twente, Department of EEMCS\\
393 P.O. Box 217, 7500 AE, Enschede, The Netherlands\\
394 c.p.r.baaij@@utwente.nl, matthijs@@stdin.nl, j.kuper@@utwente.nl}}
395 % \and
396 % \IEEEauthorblockN{Homer Simpson}
397 % \IEEEauthorblockA{Twentieth Century Fox\\
398 % Springfield, USA\\
399 % Email: homer@thesimpsons.com}
400 % \and
401 % \IEEEauthorblockN{James Kirk\\ and Montgomery Scott}
402 % \IEEEauthorblockA{Starfleet Academy\\
403 % San Francisco, California 96678-2391\\
404 % Telephone: (800) 555--1212\\
405 % Fax: (888) 555--1212}}
406
407 % conference papers do not typically use \thanks and this command
408 % is locked out in conference mode. If really needed, such as for
409 % the acknowledgment of grants, issue a \IEEEoverridecommandlockouts
410 % after \documentclass
411
412 % for over three affiliations, or if they all won't fit within the width
413 % of the page, use this alternative format:
414
415 %\author{\IEEEauthorblockN{Michael Shell\IEEEauthorrefmark{1},
416 %Homer Simpson\IEEEauthorrefmark{2},
417 %James Kirk\IEEEauthorrefmark{3}, 
418 %Montgomery Scott\IEEEauthorrefmark{3} and
419 %Eldon Tyrell\IEEEauthorrefmark{4}}
420 %\IEEEauthorblockA{\IEEEauthorrefmark{1}School of Electrical and Computer Engineering\\
421 %Georgia Institute of Technology,
422 %Atlanta, Georgia 30332--0250\\ Email: see http://www.michaelshell.org/contact.html}
423 %\IEEEauthorblockA{\IEEEauthorrefmark{2}Twentieth Century Fox, Springfield, USA\\
424 %Email: homer@thesimpsons.com}
425 %\IEEEauthorblockA{\IEEEauthorrefmark{3}Starfleet Academy, San Francisco, California 96678-2391\\
426 %Telephone: (800) 555--1212, Fax: (888) 555--1212}
427 %\IEEEauthorblockA{\IEEEauthorrefmark{4}Tyrell Inc., 123 Replicant Street, Los Angeles, California 90210--4321}}
428
429
430
431
432 % use for special paper notices
433 %\IEEEspecialpapernotice{(Invited Paper)}
434
435
436
437
438 % make the title area
439 \maketitle
440
441
442 \begin{abstract}
443 %\boldmath
444 The abstract goes here.
445 \end{abstract}
446 % IEEEtran.cls defaults to using nonbold math in the Abstract.
447 % This preserves the distinction between vectors and scalars. However,
448 % if the conference you are submitting to favors bold math in the abstract,
449 % then you can use LaTeX's standard command \boldmath at the very start
450 % of the abstract to achieve this. Many IEEE journals/conferences frown on
451 % math in the abstract anyway.
452
453 % no keywords
454
455
456
457
458 % For peer review papers, you can put extra information on the cover
459 % page as needed:
460 % \ifCLASSOPTIONpeerreview
461 % \begin{center} \bfseries EDICS Category: 3-BBND \end{center}
462 % \fi
463 %
464 % For peerreview papers, this IEEEtran command inserts a page break and
465 % creates the second title. It will be ignored for other modes.
466 \IEEEpeerreviewmaketitle
467
468
469 \section{Introduction}
470 Hardware description languages has allowed the productivity of hardware 
471 engineers to keep pace with the development of chip technology. Standard 
472 Hardware description languages, like \VHDL~\cite{VHDL2008} and 
473 Verilog~\cite{Verilog}, allowed an engineer to describe circuits using a 
474 programming language. These standard languages are very good at describing 
475 detailed hardware properties such as timing behavior, but are generally 
476 cumbersome in expressing higher-level abstractions. In an attempt to raise the 
477 abstraction level of the descriptions, a great number of approaches based on 
478 functional languages has been proposed \cite{T-Ruby,Hydra,HML2,Hawk1,Lava,
479 ForSyDe1,Wired,reFLect}. The idea of using functional languages for hardware 
480 descriptions started in the early 1980s \cite{Cardelli1981, muFP,DAISY,FHDL}, 
481 a time which also saw the birth of the currently popular hardware description 
482 languages such as \VHDL. The merit of using a functional language to describe 
483 hardware comes from the fact that combinatorial circuits can be directly 
484 modeled as mathematical functions and that functional languages are very good 
485 at describing and composing mathematical functions.
486
487 In an attempt to decrease the amount of work involved with creating all the 
488 required tooling, such as parsers and type-checkers, many functional hardware 
489 description languages are embedded as a domain specific language inside the 
490 functional language Haskell \cite{Hydra,Hawk1,Lava,ForSyDe1,Wired}. This 
491 means that a developer is given a library of Haskell~\cite{Haskell} functions 
492 and types that together form the language primitives of the domain specific 
493 language. As a result of how the signals are modeled and abstracted, the 
494 functions used to describe a circuit also build a large domain-specific 
495 datatype (hidden from the designer) which can be further processed by an 
496 embedded compiler. This compiler actually runs in the same environment as the 
497 description; as a result compile-time and run-time become hard to define, as 
498 the embedded compiler is usually compiled by the same Haskell compiler as the 
499 circuit description itself.
500
501 The approach taken in this research is not to make another domain specific 
502 language embedded in Haskell, but to use (a subset of) the Haskell language 
503 itself for the purpose of describing hardware. By taking this approach, we can 
504 capture certain language constructs, such as Haskell's choice elements 
505 (if-constructs, case-constructs, pattern matching, etc.), which are not 
506 available in the functional hardware description languages that are embedded 
507 in Haskell as a domain specific languages. As far as the authors know, such 
508 extensive support for choice-elements is new in the domain of functional 
509 hardware description languages. As the hardware descriptions are plain Haskell 
510 functions, these descriptions can be compiled for simulation using an 
511 optimizing Haskell compiler such as the Glasgow Haskell Compiler (\GHC).
512
513 Where descriptions in a conventional hardware description language have an 
514 explicit clock for the purpose state and synchronicity, the clock is implied 
515 in this research. A developer describes the behavior of the hardware between 
516 clock cycles, as such, only synchronous systems can be described. Many 
517 functional hardware description model signals as a stream of all values over 
518 time; state is then modeled as a delay on this stream of values. The approach 
519 taken in this research is to make the current state of a circuit part of the 
520 input of the function and the updated state part of the output.
521
522 Like the standard hardware description languages, descriptions made in a 
523 functional hardware description language must eventually be converted into a 
524 netlist. This research also features a prototype translator called \CLaSH\ 
525 (pronounced: clash), which converts the Haskell code to equivalently behaving 
526 synthesizable \VHDL\ code, ready to be converted to an actual netlist format 
527 by any (optimizing) \VHDL\ synthesis tool.
528
529 \section{Hardware description in Haskell}
530
531   \subsection{Function application}
532     The basic syntactic elements of a functional program are functions
533     and function application. These have a single obvious translation to a 
534     netlist format: 
535     \begin{inparaenum}
536       \item every function is translated to a component, 
537       \item every function argument is translated to an input port,
538       \item the result value of a function is translated to an output port, 
539             and
540       \item function applications are translated to component instantiations.
541     \end{inparaenum} 
542     The output port can have a complex type (such as a tuple), so having just 
543     a single output port does not pose any limitation. The arguments of a 
544     function applications are assigned to a signal, which are then mapped to
545     the corresponding input ports of the component. The output port of the 
546     function is also mapped to a signal, which is used as the result of the 
547     application itself.
548
549     Since every top level function generates its own component, the
550     hierarchy of function calls is reflected in the final netlist,% aswell, 
551     creating a hierarchical description of the hardware. This separation in 
552     different components makes the resulting \VHDL\ output easier to read and 
553     debug.
554
555     As an example we can see the netlist of the |mac| function in
556     \Cref{img:mac-comb}; the |mac| function applies both the |mul| and |add|
557     function to calculate $a * b + c$:
558     
559     \begin{code}
560     mac a b c = add (mul a b) c
561     \end{code}
562     
563     \begin{figure}
564     \centerline{\includegraphics{mac}}
565     \caption{Combinatorial Multiply-Accumulate}
566     \label{img:mac-comb}
567     \end{figure}
568     
569     The result of using a complex input type can be seen in 
570     \cref{img:mac-comb-nocurry} where the |mac| function now uses a single
571     input tuple for the |a|, |b|, and |c| arguments:
572     
573     \begin{code}
574     mac (a, b, c) = add (mul a b) c
575     \end{code}
576     
577     \begin{figure}
578     \centerline{\includegraphics{mac-nocurry}}
579     \caption{Combinatorial Multiply-Accumulate (complex input)}
580     \label{img:mac-comb-nocurry}
581     \end{figure}
582
583   \subsection{Choice}
584     In Haskell, choice can be achieved by a large set of language constructs, 
585     consisting of: \hs{case} constructs, \hs{if-then-else} constructs, 
586     pattern matching, and guards. The easiest of these are the \hs{case} 
587     constructs (\hs{if} expressions can be very directly translated to 
588     \hs{case} expressions). % Choice elements are translated to multiplexers
589     % A \hs{case} expression can in turn simply be translated to a conditional 
590     % assignment in \VHDL, where the conditions use equality comparisons 
591     % against the constructors in the \hs{case} expressions. 
592     We can see two versions of a contrived example, the first 
593     using a \hs{case} construct and the other using a \hs{if-then-else} 
594     constructs, in the code below. The example sums two values when they are 
595     equal or non-equal (depending on the predicate given) and returns 0 
596     otherwise.
597     
598     \begin{code}
599     sumif pred a b = case pred of
600       Eq ->   case a == b of
601         True    -> a + b
602         False   -> 0
603       Neq ->  case a != b of
604         True    -> a + b
605         False   -> 0
606     \end{code}
607
608     \begin{code}
609     sumif pred a b = 
610       if pred == Eq then 
611         if a == b then a + b else 0
612       else 
613         if a != b then a + b else 0
614     \end{code}
615
616     Both versions of the example correspond to the same netlist, which is 
617     depicted in \Cref{img:choice}.
618
619     \begin{figure}
620     \centerline{\includegraphics{choice-case}}
621     \caption{Choice - sumif}
622     \label{img:choice}
623     \end{figure}
624
625     A slightly more complex (but very powerful) form of choice is pattern 
626     matching. A function can be defined in multiple clauses, where each clause 
627     specifies a pattern. When the arguments match the pattern, the 
628     corresponding clause will be used. Expressions can also contain guards, 
629     where the expression is only executed if the guard evaluates to true. A 
630     pattern match (with optional guards) can be to a conditional assignments 
631     in \VHDL, where the conditions are an equality test of the argument and 
632     one of the patterns (combined with the guard if was present). A third 
633     version of the earlier example, using both pattern matching and guards, 
634     can be seen below:
635     
636     \begin{code}
637     sumif Eq a b    | a == b = a + b
638     sumif Neq a b   | a != b = a + b
639     sumif _ _ _     = 0
640     \end{code}
641     
642     The version using pattern matching and guards has the same netlist 
643     representation (\Cref{img:choice}) as the earlier two versions of the 
644     example.
645
646     % \begin{figure}
647     % \centerline{\includegraphics{choice-ifthenelse}}
648     % \caption{Choice - \emph{if-then-else}}
649     % \label{img:choice}
650     % \end{figure}
651
652   \subsection{Types}
653     Haskell is a strongly-typed language, meaning that the type of a variable   
654     or function is determined at compile-time. Not all of Haskell's typing 
655     constructs have a clear translation to hardware, as such this section will
656     only deal with the types that do have a clear correspondence to hardware.
657     The translatable types are divided into two categories: \emph{built-in}
658     types and \emph{user-defined} types. Built-in types are those types for
659     which a direct translation is defined within the \CLaSH\ compiler; the
660     term user-defined types should not require any further elaboration.
661   
662     % Translation of two most basic functional concepts has been
663     % discussed: function application and choice. Before looking further
664     % into less obvious concepts like higher-order expressions and
665     % polymorphism, the possible types that can be used in hardware
666     % descriptions will be discussed.
667     % 
668     % Some way is needed to translate every value used to its hardware
669     % equivalents. In particular, this means a hardware equivalent for
670     % every \emph{type} used in a hardware description is needed.
671     % 
672     % The following types are \emph{built-in}, meaning that their hardware
673     % translation is fixed into the \CLaSH\ compiler. A designer can also
674     % define his own types, which will be translated into hardware types
675     % using translation rules that are discussed later on.
676
677   \subsubsection{Built-in types}
678     \begin{xlist}
679       \item[\bf{Bit}]
680         This is the most basic type available. It can have two values:
681         \hs{Low} and \hs{High}. 
682         % It is mapped directly onto the \texttt{std\_logic} \VHDL\ type. 
683       \item[\bf{Bool}]
684         This is a basic logic type. It can have two values: \hs{True}
685         and \hs{False}. 
686         % It is translated to \texttt{std\_logic} exactly like the \hs{Bit} 
687         % type (where a value of \hs{True} corresponds to a value of 
688         % \hs{High}). 
689         Supporting the Bool type is required in order to support the
690         \hs{if-then-else} construct, which requires a \hs{Bool} value for 
691         the condition.
692       \item[\bf{SizedWord}, \bf{SizedInt}]
693         These are types to represent integers. A \hs{SizedWord} is unsigned,
694         while a \hs{SizedInt} is signed. Both are parametrizable in their 
695         size. 
696         % , so you can define an unsigned word of 32 bits wide as follows:
697
698         % \begin{code}
699         % type Word32 = SizedWord D32
700         % \end{code}
701
702         % Here, a type synonym \hs{Word32} is defined that is equal to the
703         % \hs{SizedWord} type constructor applied to the type \hs{D32}. 
704         % \hs{D32} is the \emph{type level representation} of the decimal 
705         % number 32, making the \hs{Word32} type a 32-bit unsigned word. These 
706         % types are translated to the \VHDL\ \texttt{unsigned} and 
707         % \texttt{signed} respectively.
708       \item[\bf{Vector}]
709         This is a vector type that can contain elements of any other type and
710         has a fixed length. The \hs{Vector} type constructor takes two type 
711         arguments: the length of the vector and the type of the elements 
712         contained in it. 
713         % The state type of an 8 element register bank would then for example 
714         % be:
715
716         % \begin{code}
717         % type RegisterState = Vector D8 Word32
718         % \end{code}
719
720         % Here, a type synonym \hs{RegisterState} is defined that is equal to
721         % the \hs{Vector} type constructor applied to the types \hs{D8} (The 
722         % type level representation of the decimal number 8) and \hs{Word32} 
723         % (The 32 bit word type as defined above). In other words, the 
724         % \hs{RegisterState} type is a vector of 8 32-bit words. A fixed size 
725         % vector is translated to a \VHDL\ array type.
726       \item[\bf{RangedWord}]
727         This is another type to describe integers, but unlike the previous
728         two it has no specific bit-width, but an upper bound. This means that
729         its range is not limited to powers of two, but can be any number.
730         A \hs{RangedWord} only has an upper bound, its lower bound is
731         implicitly zero. The main purpose of the \hs{RangedWord} type is to be 
732         used as an index to a \hs{Vector}.
733
734         % \comment{TODO: Perhaps remove this example?} To define an index for 
735         % the 8 element vector above, we would do:
736
737         % \begin{code}
738         % type RegisterIndex = RangedWord D7
739         % \end{code}
740
741         % Here, a type synonym \hs{RegisterIndex} is defined that is equal to
742         % the \hs{RangedWord} type constructor applied to the type \hs{D7}. In
743         % other words, this defines an unsigned word with values from
744         % 0 to 7 (inclusive). This word can be be used to index the
745         % 8 element vector \hs{RegisterState} above. This type is translated 
746         % to the \texttt{unsigned} \VHDL type.
747     \end{xlist}
748
749   \subsubsection{User-defined types}
750     There are three ways to define new types in Haskell: algebraic
751     data-types with the \hs{data} keyword, type synonyms with the \hs{type}
752     keyword and datatype renamings with the \hs{newtype} keyword. \GHC\
753     offers a few more advanced ways to introduce types (type families,
754     existential typing, {\small{GADT}}s, etc.) which are not standard
755     Haskell. These are not currently supported.
756
757     Only an algebraic datatype declaration actually introduces a
758     completely new type, for which we provide the \VHDL\ translation
759     below. Type synonyms and renamings only define new names for
760     existing types, where synonyms are completely interchangeable and
761     renamings need explicit conversiona. Therefore, these do not need
762     any particular \VHDL\ translation, a synonym or renamed type will
763     just use the same representation as the original type. The
764     distinction between a renaming and a synonym does no longer matter
765     in hardware and can be disregarded in the generated \VHDL. For algebraic 
766     types, we can make the following distinction: 
767
768     \begin{xlist}
769       \item[\bf{Single constructor}]
770         Algebraic datatypes with a single constructor with one or more
771         fields, are essentially a way to pack a few values together in a
772         record-like structure. An example of such a type is the following pair 
773         of integers:
774
775         \begin{code}
776         data IntPair = IntPair Int Int
777         \end{code}
778
779         Haskell's builtin tuple types are also defined as single
780         constructor algebraic types and are translated according to this
781         rule by the \CLaSH\ compiler.
782         % These types are translated to \VHDL\ record types, with one field 
783         % for every field in the constructor.
784       \item[\bf{No fields}]
785         Algebraic datatypes with multiple constructors, but without any
786         fields are essentially a way to get an enumeration-like type
787         containing alternatives. Note that Haskell's \hs{Bool} type is also 
788         defined as an enumeration type, but we have a fixed translation for 
789         that. 
790         % These types are translated to \VHDL\ enumerations, with one 
791         % value for each constructor. This allows references to these 
792         % constructors to be translated to the corresponding enumeration 
793         % value.
794       \item[\bf{Multiple constructors with fields}]
795         Algebraic datatypes with multiple constructors, where at least
796         one of these constructors has one or more fields are not
797         currently supported.
798     \end{xlist}
799
800   \subsection{Polymorphic functions}
801     A powerful construct in most functional language is polymorphism.
802     This means the arguments of a function (and consequentially, values
803     within the function as well) do not need to have a fixed type.
804     Haskell supports \emph{parametric polymorphism}, meaning a
805     function's type can be parameterized with another type.
806
807     As an example of a polymorphic function, consider the following
808     \hs{append} function's type:
809     
810     \comment{TODO: Use vectors instead of lists?}
811
812     \begin{code}
813     append :: [a] -> a -> [a]
814     \end{code}
815
816     This type is parameterized by \hs{a}, which can contain any type at
817     all. This means that append can append an element to a list,
818     regardless of the type of the elements in the list (but the element
819     added must match the elements in the list, since there is only one
820     \hs{a}).
821
822     This kind of polymorphism is extremely useful in hardware designs to
823     make operations work on a vector without knowing exactly what elements
824     are inside, routing signals without knowing exactly what kinds of
825     signals these are, or working with a vector without knowing exactly
826     how long it is. Polymorphism also plays an important role in most
827     higher order functions, as we will see in the next section.
828
829     The previous example showed unconstrained polymorphism \comment{(TODO: How 
830     is this really called?)}: \hs{a} can have \emph{any} type. 
831     Furthermore,Haskell supports limiting the types of a type parameter to 
832     specific class of types. An example of such a type class is the 
833     \hs{Num} class, which contains all of Haskell's numerical types.
834
835     Now, take the addition operator, which has the following type:
836
837     \begin{code}
838     (+) :: Num a => a -> a -> a
839     \end{code}
840
841     This type is again parameterized by \hs{a}, but it can only contain
842     types that are \emph{instances} of the \emph{type class} \hs{Num}.
843     Our numerical built-in types are also instances of the \hs{Num}
844     class, so we can use the addition operator on \hs{SizedWords} as
845     well as on {SizedInts}.
846
847     In \CLaSH, unconstrained polymorphism is completely supported. Any
848     function defined can have any number of unconstrained type
849     parameters. The \CLaSH\ compiler will infer the type of every such
850     argument depending on how the function is applied. There is one
851     exception to this: The top level function that is translated, can
852     not have any polymorphic arguments (since it is never applied, so
853     there is no way to find out the actual types for the type
854     parameters).
855
856     \CLaSH\ does not support user-defined type classes, but does use some
857     of the builtin ones for its builtin functions (like \hs{Num} and
858     \hs{Eq}).
859
860   \subsection{Higher order}
861     Another powerful abstraction mechanism in functional languages, is
862     the concept of \emph{higher order functions}, or \emph{functions as
863     a first class value}. This allows a function to be treated as a
864     value and be passed around, even as the argument of another
865     function. Let's clarify that with an example:
866     
867     \begin{code}
868     notList xs = map not xs
869     \end{code}
870
871     This defines a function \hs{notList}, with a single list of booleans
872     \hs{xs} as an argument, which simply negates all of the booleans in
873     the list. To do this, it uses the function \hs{map}, which takes
874     \emph{another function} as its first argument and applies that other
875     function to each element in the list, returning again a list of the
876     results.
877
878     As you can see, the \hs{map} function is a higher order function,
879     since it takes another function as an argument. Also note that
880     \hs{map} is again a polymorphic function: It does not pose any
881     constraints on the type of elements in the list passed, other than
882     that it must be the same as the type of the argument the passed
883     function accepts. The type of elements in the resulting list is of
884     course equal to the return type of the function passed (which need
885     not be the same as the type of elements in the input list). Both of
886     these can be readily seen from the type of \hs{map}:
887
888     \begin{code}
889     map :: (a -> b) -> [a] -> [b]
890     \end{code}
891     
892     As an example from a common hardware design, let's look at the
893     equation of a FIR filter.
894
895     \begin{equation}
896     y_t  = \sum\nolimits_{i = 0}^{n - 1} {x_{t - i}  \cdot h_i } 
897     \end{equation}
898
899     A FIR filter multiplies fixed constants ($h$) with the current and
900     a few previous input samples ($x$). Each of these multiplications
901     are summed, to produce the result at time $t$.
902
903     This is easily and directly implemented using higher order
904     functions. Consider that the vector \hs{hs} contains the FIR
905     coefficients and the vector \hs{xs} contains the current input sample
906     in front and older samples behind. How \hs{xs} gets its value will be
907     show in the next section about state.
908
909     \begin{code}
910     fir ... = foldl1 (+) (zipwith (*) xs hs)
911     \end{code}
912
913     Here, the \hs{zipwith} function is very similar to the \hs{map}
914     function: It takes a function two lists and then applies the
915     function to each of the elements of the two lists pairwise
916     (\emph{e.g.}, \hs{zipwith (+) [1, 2] [3, 4]} becomes 
917     \hs{[1 + 3, 2 + 4]}.
918
919     The \hs{foldl1} function takes a function and a single list and applies the
920     function to the first two elements of the list. It then applies to
921     function to the result of the first application and the next element
922     from the list. This continues until the end of the list is reached.
923     The result of the \hs{foldl1} function is the result of the last
924     application.
925
926     As you can see, the \hs{zipwith (*)} function is just pairwise
927     multiplication and the \hs{foldl1 (+)} function is just summation.
928
929     To make the correspondence between the code and the equation even
930     more obvious, we turn the list of input samples in the equation
931     around. So, instead of having the the input sample received at time
932     $t$ in $x_t$, $x_0$ now always stores the current sample, and $x_i$
933     stores the $ith$ previous sample. This changes the equation to the
934     following (Note that this is completely equivalent to the original
935     equation, just with a different definition of $x$ that better suits
936     the \hs{x} from the code):
937
938     \begin{equation}
939     y_t  = \sum\nolimits_{i = 0}^{n - 1} {x_i  \cdot h_i } 
940     \end{equation}
941
942     So far, only functions have been used as higher order values. In
943     Haskell, there are two more ways to obtain a function-typed value:
944     partial application and lambda abstraction. Partial application
945     means that a function that takes multiple arguments can be applied
946     to a single argument, and the result will again be a function (but
947     that takes one argument less). As an example, consider the following
948     expression, that adds one to every element of a vector:
949
950     \begin{code}
951     map ((+) 1) xs
952     \end{code}
953
954     Here, the expression \hs{(+) 1} is the partial application of the
955     plus operator to the value \hs{1}, which is again a function that
956     adds one to its argument.
957
958     A labmda expression allows one to introduce an anonymous function
959     in any expression. Consider the following expression, which again
960     adds one to every element of a list:
961
962     \begin{code}
963     map (\x -> x + 1) xs
964     \end{code}
965
966     Finally, higher order arguments are not limited to just builtin
967     functions, but any function defined in \CLaSH\ can have function
968     arguments. This allows the hardware designer to use a powerful
969     abstraction mechanism in his designs and have an optimal amount of
970     code reuse.
971
972     \comment{TODO: Describe ALU example (no code)}
973
974   \subsection{State}
975     A very important concept in hardware it the concept of state. In a 
976     stateful design, the outputs depend on the history of the inputs, or the 
977     state. State is usually stored in registers, which retain their value 
978     during a clock cycle. As we want to describe more than simple 
979     combinatorial designs, \CLaSH\ needs an abstraction mechanism for state.
980
981     An important property in Haskell, and in most other functional languages, 
982     is \emph{purity}. A function is said to be \emph{pure} if it satisfies two
983     conditions:
984     \begin{inparaenum}
985       \item given the same arguments twice, it should return the same value in 
986       both cases, and
987       \item when the function is called, it should not have observable 
988       side-effects.
989     \end{inparaenum}
990     This purity property is important for functional languages, since it 
991     enables all kinds of mathematical reasoning that could not be guaranteed 
992     correct for impure functions. Pure functions are as such a perfect match 
993     for a combinatorial circuit, where the output solely depends on the 
994     inputs. When a circuit has state however, it can no longer be simply
995     described by a pure function. Simply removing the purity property is not a 
996     valid option, as the language would then lose many of it mathematical 
997     properties. In an effort to include the concept of state in pure 
998     functions, the current value of the state is made an argument of the  
999     function; the updated state becomes part of the result. A simple example 
1000     is adding an accumulator register to the earlier multiply-accumulate 
1001     circuit, of which the resulting netlist can be seen in 
1002     \Cref{img:mac-state}:
1003     
1004     \begin{code}
1005     macS a b (State c) = (State c', outp)
1006       where
1007         outp  = mac a b c
1008         c'    = outp
1009     \end{code}
1010     
1011     \begin{figure}
1012     \centerline{\includegraphics{mac-state}}
1013     \caption{Stateful Multiply-Accumulate}
1014     \label{img:mac-state}
1015     \end{figure}
1016     
1017     This approach makes the state of a circuit very explicit: which variables 
1018     are part of the state is completely determined by the type signature. This 
1019     approach to state is well suited to be used in combination with the 
1020     existing code and language features, such as all the choice constructs, as 
1021     state values are just normal values.
1022 \section{\CLaSH\ prototype}
1023
1024 foo\par bar
1025
1026 \section{Related work}
1027 Many functional hardware description languages have been developed over the 
1028 years. Early work includes such languages as $\mu$\acro{FP}~\cite{muFP}, an 
1029 extension of Backus' \acro{FP} language to synchronous streams, designed 
1030 particularly for describing and reasoning about regular circuits. The 
1031 Ruby~\cite{Ruby} language uses relations, instead of functions, to describe 
1032 circuits, and has a particular focus on layout. \acro{HML}~\cite{HML2} is a 
1033 hardware modeling language based on the strict functional language 
1034 \acro{ML}, and has support for polymorphic types and higher-order functions. 
1035 Published work suggests that there is no direct simulation support for 
1036 \acro{HML}, and that the translation to \VHDL\ is only partial.
1037
1038 Like this work, many functional hardware description languages have some sort 
1039 of foundation in the functional programming language Haskell. 
1040 Hawk~\cite{Hawk1} uses Haskell to describe system-level executable 
1041 specifications used to model the behavior of superscalar microprocessors. Hawk 
1042 specifications can be simulated, but there seems to be no support for 
1043 automated circuit synthesis. The ForSyDe~\cite{ForSyDe2} system uses Haskell 
1044 to specify abstract system models, which can (manually) be transformed into an 
1045 implementation model using semantic preserving transformations. ForSyDe has 
1046 several simulation and synthesis backends, though synthesis is restricted to 
1047 the synchronous subset of the ForSyDe language.
1048
1049 Lava~\cite{Lava} is a hardware description language that focuses on the 
1050 structural representation of hardware. Besides support for simulation and 
1051 circuit synthesis, Lava descriptions can be interfaced with formal method 
1052 tools for formal verification. Lava descriptions are actually circuit 
1053 generators when viewed from a synthesis viewpoint, in that the language 
1054 elements of Haskell, such as choice, can be used to guide the circuit 
1055 generation. If a developer wants to insert a choice element inside an actual 
1056 circuit he will have to specify this explicitly as a component. In this 
1057 respect \CLaSH\ differs from Lava, in that all the choice elements, such as 
1058 case-statements and pattern matching, are synthesized to choice elements in the 
1059 eventual circuit. As such, richer control structures can both be specified and 
1060 synthesized in \CLaSH\ compared to any of the languages mentioned in this 
1061 section.
1062
1063 The merits of polymorphic typing, combined with higher-order functions, are 
1064 now also recognized in the `main-stream' hardware description languages, 
1065 exemplified by the new \VHDL-2008 standard~\cite{VHDL2008}. \VHDL-2008 has 
1066 support to specify types as generics, thus allowing a developer to describe 
1067 polymorphic components. Note that those types still require an explicit 
1068 generic map, whereas type-inference and type-specialization are implicit in 
1069 \CLaSH.
1070
1071 % Wired~\cite{Wired},, T-Ruby~\cite{T-Ruby}, Hydra~\cite{Hydra}. 
1072
1073 % A functional language designed specifically for hardware design is 
1074 % $re{\mathit{FL}}^{ect}$~\cite{reFLect}, which draws experience from earlier 
1075 % language called \acro{FL}~\cite{FL} to la
1076
1077 % An example of a floating figure using the graphicx package.
1078 % Note that \label must occur AFTER (or within) \caption.
1079 % For figures, \caption should occur after the \includegraphics.
1080 % Note that IEEEtran v1.7 and later has special internal code that
1081 % is designed to preserve the operation of \label within \caption
1082 % even when the captionsoff option is in effect. However, because
1083 % of issues like this, it may be the safest practice to put all your
1084 % \label just after \caption rather than within \caption{}.
1085 %
1086 % Reminder: the "draftcls" or "draftclsnofoot", not "draft", class
1087 % option should be used if it is desired that the figures are to be
1088 % displayed while in draft mode.
1089 %
1090 %\begin{figure}[!t]
1091 %\centering
1092 %\includegraphics[width=2.5in]{myfigure}
1093 % where an .eps filename suffix will be assumed under latex, 
1094 % and a .pdf suffix will be assumed for pdflatex; or what has been declared
1095 % via \DeclareGraphicsExtensions.
1096 %\caption{Simulation Results}
1097 %\label{fig_sim}
1098 %\end{figure}
1099
1100 % Note that IEEE typically puts floats only at the top, even when this
1101 % results in a large percentage of a column being occupied by floats.
1102
1103
1104 % An example of a double column floating figure using two subfigures.
1105 % (The subfig.sty package must be loaded for this to work.)
1106 % The subfigure \label commands are set within each subfloat command, the
1107 % \label for the overall figure must come after \caption.
1108 % \hfil must be used as a separator to get equal spacing.
1109 % The subfigure.sty package works much the same way, except \subfigure is
1110 % used instead of \subfloat.
1111 %
1112 %\begin{figure*}[!t]
1113 %\centerline{\subfloat[Case I]\includegraphics[width=2.5in]{subfigcase1}%
1114 %\label{fig_first_case}}
1115 %\hfil
1116 %\subfloat[Case II]{\includegraphics[width=2.5in]{subfigcase2}%
1117 %\label{fig_second_case}}}
1118 %\caption{Simulation results}
1119 %\label{fig_sim}
1120 %\end{figure*}
1121 %
1122 % Note that often IEEE papers with subfigures do not employ subfigure
1123 % captions (using the optional argument to \subfloat), but instead will
1124 % reference/describe all of them (a), (b), etc., within the main caption.
1125
1126
1127 % An example of a floating table. Note that, for IEEE style tables, the 
1128 % \caption command should come BEFORE the table. Table text will default to
1129 % \footnotesize as IEEE normally uses this smaller font for tables.
1130 % The \label must come after \caption as always.
1131 %
1132 %\begin{table}[!t]
1133 %% increase table row spacing, adjust to taste
1134 %\renewcommand{\arraystretch}{1.3}
1135 % if using array.sty, it might be a good idea to tweak the value of
1136 % \extrarowheight as needed to properly center the text within the cells
1137 %\caption{An Example of a Table}
1138 %\label{table_example}
1139 %\centering
1140 %% Some packages, such as MDW tools, offer better commands for making tables
1141 %% than the plain LaTeX2e tabular which is used here.
1142 %\begin{tabular}{|c||c|}
1143 %\hline
1144 %One & Two\\
1145 %\hline
1146 %Three & Four\\
1147 %\hline
1148 %\end{tabular}
1149 %\end{table}
1150
1151
1152 % Note that IEEE does not put floats in the very first column - or typically
1153 % anywhere on the first page for that matter. Also, in-text middle ("here")
1154 % positioning is not used. Most IEEE journals/conferences use top floats
1155 % exclusively. Note that, LaTeX2e, unlike IEEE journals/conferences, places
1156 % footnotes above bottom floats. This can be corrected via the \fnbelowfloat
1157 % command of the stfloats package.
1158
1159
1160
1161 \section{Conclusion}
1162 The conclusion goes here.
1163
1164
1165
1166
1167 % conference papers do not normally have an appendix
1168
1169
1170 % use section* for acknowledgement
1171 \section*{Acknowledgment}
1172
1173
1174 The authors would like to thank...
1175
1176
1177
1178
1179
1180 % trigger a \newpage just before the given reference
1181 % number - used to balance the columns on the last page
1182 % adjust value as needed - may need to be readjusted if
1183 % the document is modified later
1184 %\IEEEtriggeratref{8}
1185 % The "triggered" command can be changed if desired:
1186 %\IEEEtriggercmd{\enlargethispage{-5in}}
1187
1188 % references section
1189
1190 % can use a bibliography generated by BibTeX as a .bbl file
1191 % BibTeX documentation can be easily obtained at:
1192 % http://www.ctan.org/tex-archive/biblio/bibtex/contrib/doc/
1193 % The IEEEtran BibTeX style support page is at:
1194 % http://www.michaelshell.org/tex/ieeetran/bibtex/
1195 \bibliographystyle{IEEEtran}
1196 % argument is your BibTeX string definitions and bibliography database(s)
1197 \bibliography{IEEEabrv,clash.bib}
1198 %
1199 % <OR> manually copy in the resultant .bbl file
1200 % set second argument of \begin to the number of references
1201 % (used to reserve space for the reference number labels box)
1202 % \begin{thebibliography}{1}
1203
1204 % \bibitem{IEEEhowto:kopka}
1205 % H.~Kopka and P.~W. Daly, \emph{A Guide to \LaTeX}, 3rd~ed.\hskip 1em plus
1206 %   0.5em minus 0.4em\relax Harlow, England: Addison-Wesley, 1999.
1207
1208 % \end{thebibliography}
1209
1210
1211
1212
1213 % that's all folks
1214 \end{document}
1215
1216 % vim: set ai sw=2 sts=2 expandtab: