4c36e8fc0dfbfc7f8ba84ebdbcaf05428be989f1
[matthijs/master-project/dsd-paper.git] / cλash.lhs
1
2 %% bare_conf.tex
3 %% V1.3
4 %% 2007/01/11
5 %% by Michael Shell
6 %% See:
7 %% http://www.michaelshell.org/
8 %% for current contact information.
9 %%
10 %% This is a skeleton file demonstrating the use of IEEEtran.cls
11 %% (requires IEEEtran.cls version 1.7 or later) with an IEEE conference paper.
12 %%
13 %% Support sites:
14 %% http://www.michaelshell.org/tex/ieeetran/
15 %% http://www.ctan.org/tex-archive/macros/latex/contrib/IEEEtran/
16 %% and
17 %% http://www.ieee.org/
18
19 %%*************************************************************************
20 %% Legal Notice:
21 %% This code is offered as-is without any warranty either expressed or
22 %% implied; without even the implied warranty of MERCHANTABILITY or
23 %% FITNESS FOR A PARTICULAR PURPOSE! 
24 %% User assumes all risk.
25 %% In no event shall IEEE or any contributor to this code be liable for
26 %% any damages or losses, including, but not limited to, incidental,
27 %% consequential, or any other damages, resulting from the use or misuse
28 %% of any information contained here.
29 %%
30 %% All comments are the opinions of their respective authors and are not
31 %% necessarily endorsed by the IEEE.
32 %%
33 %% This work is distributed under the LaTeX Project Public License (LPPL)
34 %% ( http://www.latex-project.org/ ) version 1.3, and may be freely used,
35 %% distributed and modified. A copy of the LPPL, version 1.3, is included
36 %% in the base LaTeX documentation of all distributions of LaTeX released
37 %% 2003/12/01 or later.
38 %% Retain all contribution notices and credits.
39 %% ** Modified files should be clearly indicated as such, including  **
40 %% ** renaming them and changing author support contact information. **
41 %%
42 %% File list of work: IEEEtran.cls, IEEEtran_HOWTO.pdf, bare_adv.tex,
43 %%                    bare_conf.tex, bare_jrnl.tex, bare_jrnl_compsoc.tex
44 %%*************************************************************************
45
46 % *** Authors should verify (and, if needed, correct) their LaTeX system  ***
47 % *** with the testflow diagnostic prior to trusting their LaTeX platform ***
48 % *** with production work. IEEE's font choices can trigger bugs that do  ***
49 % *** not appear when using other class files.                            ***
50 % The testflow support page is at:
51 % http://www.michaelshell.org/tex/testflow/
52
53
54
55 % Note that the a4paper option is mainly intended so that authors in
56 % countries using A4 can easily print to A4 and see how their papers will
57 % look in print - the typesetting of the document will not typically be
58 % affected with changes in paper size (but the bottom and side margins will).
59 % Use the testflow package mentioned above to verify correct handling of
60 % both paper sizes by the user's LaTeX system.
61 %
62 % Also note that the "draftcls" or "draftclsnofoot", not "draft", option
63 % should be used if it is desired that the figures are to be displayed in
64 % draft mode.
65 %
66
67 \documentclass[conference,pdf,a4paper,10pt,final,twoside,twocolumn]{IEEEtran}
68 % Add the compsoc option for Computer Society conferences.
69 %
70 % If IEEEtran.cls has not been installed into the LaTeX system files,
71 % manually specify the path to it like:
72 % \documentclass[conference]{../sty/IEEEtran}
73
74 % Some very useful LaTeX packages include:
75 % (uncomment the ones you want to load)
76
77 % *** MISC UTILITY PACKAGES ***
78 %
79 %\usepackage{ifpdf}
80 % Heiko Oberdiek's ifpdf.sty is very useful if you need conditional
81 % compilation based on whether the output is pdf or dvi.
82 % usage:
83 % \ifpdf
84 %   % pdf code
85 % \else
86 %   % dvi code
87 % \fi
88 % The latest version of ifpdf.sty can be obtained from:
89 % http://www.ctan.org/tex-archive/macros/latex/contrib/oberdiek/
90 % Also, note that IEEEtran.cls V1.7 and later provides a builtin
91 % \ifCLASSINFOpdf conditional that works the same way.
92 % When switching from latex to pdflatex and vice-versa, the compiler may
93 % have to be run twice to clear warning/error messages.
94
95
96
97 % *** CITATION PACKAGES ***
98 %
99 \usepackage{cite}
100 % cite.sty was written by Donald Arseneau
101 % V1.6 and later of IEEEtran pre-defines the format of the cite.sty package
102 % \cite{} output to follow that of IEEE. Loading the cite package will
103 % result in citation numbers being automatically sorted and properly
104 % "compressed/ranged". e.g., [1], [9], [2], [7], [5], [6] without using
105 % cite.sty will become [1], [2], [5]--[7], [9] using cite.sty. cite.sty's
106 % \cite will automatically add leading space, if needed. Use cite.sty's
107 % noadjust option (cite.sty V3.8 and later) if you want to turn this off.
108 % cite.sty is already installed on most LaTeX systems. Be sure and use
109 % version 4.0 (2003-05-27) and later if using hyperref.sty. cite.sty does
110 % not currently provide for hyperlinked citations.
111 % The latest version can be obtained at:
112 % http://www.ctan.org/tex-archive/macros/latex/contrib/cite/
113 % The documentation is contained in the cite.sty file itself.
114
115
116
117
118
119
120 % *** GRAPHICS RELATED PACKAGES ***
121 %
122 \ifCLASSINFOpdf
123   \usepackage[pdftex]{graphicx}
124   % declare the path(s) where your graphic files are
125   % \graphicspath{{../pdf/}{../jpeg/}}
126   % and their extensions so you won't have to specify these with
127   % every instance of \includegraphics
128   % \DeclareGraphicsExtensions{.pdf,.jpeg,.png}
129 \else
130   % or other class option (dvipsone, dvipdf, if not using dvips). graphicx
131   % will default to the driver specified in the system graphics.cfg if no
132   % driver is specified.
133   % \usepackage[dvips]{graphicx}
134   % declare the path(s) where your graphic files are
135   % \graphicspath{{../eps/}}
136   % and their extensions so you won't have to specify these with
137   % every instance of \includegraphics
138   % \DeclareGraphicsExtensions{.eps}
139 \fi
140 % graphicx was written by David Carlisle and Sebastian Rahtz. It is
141 % required if you want graphics, photos, etc. graphicx.sty is already
142 % installed on most LaTeX systems. The latest version and documentation can
143 % be obtained at: 
144 % http://www.ctan.org/tex-archive/macros/latex/required/graphics/
145 % Another good source of documentation is "Using Imported Graphics in
146 % LaTeX2e" by Keith Reckdahl which can be found as epslatex.ps or
147 % epslatex.pdf at: http://www.ctan.org/tex-archive/info/
148 %
149 % latex, and pdflatex in dvi mode, support graphics in encapsulated
150 % postscript (.eps) format. pdflatex in pdf mode supports graphics
151 % in .pdf, .jpeg, .png and .mps (metapost) formats. Users should ensure
152 % that all non-photo figures use a vector format (.eps, .pdf, .mps) and
153 % not a bitmapped formats (.jpeg, .png). IEEE frowns on bitmapped formats
154 % which can result in "jaggedy"/blurry rendering of lines and letters as
155 % well as large increases in file sizes.
156 %
157 % You can find documentation about the pdfTeX application at:
158 % http://www.tug.org/applications/pdftex
159
160
161
162
163
164 % *** MATH PACKAGES ***
165 %
166 %\usepackage[cmex10]{amsmath}
167 % A popular package from the American Mathematical Society that provides
168 % many useful and powerful commands for dealing with mathematics. If using
169 % it, be sure to load this package with the cmex10 option to ensure that
170 % only type 1 fonts will utilized at all point sizes. Without this option,
171 % it is possible that some math symbols, particularly those within
172 % footnotes, will be rendered in bitmap form which will result in a
173 % document that can not be IEEE Xplore compliant!
174 %
175 % Also, note that the amsmath package sets \interdisplaylinepenalty to 10000
176 % thus preventing page breaks from occurring within multiline equations. Use:
177 %\interdisplaylinepenalty=2500
178 % after loading amsmath to restore such page breaks as IEEEtran.cls normally
179 % does. amsmath.sty is already installed on most LaTeX systems. The latest
180 % version and documentation can be obtained at:
181 % http://www.ctan.org/tex-archive/macros/latex/required/amslatex/math/
182
183
184
185
186
187 % *** SPECIALIZED LIST PACKAGES ***
188 %
189 %\usepackage{algorithmic}
190 % algorithmic.sty was written by Peter Williams and Rogerio Brito.
191 % This package provides an algorithmic environment fo describing algorithms.
192 % You can use the algorithmic environment in-text or within a figure
193 % environment to provide for a floating algorithm. Do NOT use the algorithm
194 % floating environment provided by algorithm.sty (by the same authors) or
195 % algorithm2e.sty (by Christophe Fiorio) as IEEE does not use dedicated
196 % algorithm float types and packages that provide these will not provide
197 % correct IEEE style captions. The latest version and documentation of
198 % algorithmic.sty can be obtained at:
199 % http://www.ctan.org/tex-archive/macros/latex/contrib/algorithms/
200 % There is also a support site at:
201 % http://algorithms.berlios.de/index.html
202 % Also of interest may be the (relatively newer and more customizable)
203 % algorithmicx.sty package by Szasz Janos:
204 % http://www.ctan.org/tex-archive/macros/latex/contrib/algorithmicx/
205
206
207
208
209 % *** ALIGNMENT PACKAGES ***
210 %
211 %\usepackage{array}
212 % Frank Mittelbach's and David Carlisle's array.sty patches and improves
213 % the standard LaTeX2e array and tabular environments to provide better
214 % appearance and additional user controls. As the default LaTeX2e table
215 % generation code is lacking to the point of almost being broken with
216 % respect to the quality of the end results, all users are strongly
217 % advised to use an enhanced (at the very least that provided by array.sty)
218 % set of table tools. array.sty is already installed on most systems. The
219 % latest version and documentation can be obtained at:
220 % http://www.ctan.org/tex-archive/macros/latex/required/tools/
221
222
223 %\usepackage{mdwmath}
224 %\usepackage{mdwtab}
225 % Also highly recommended is Mark Wooding's extremely powerful MDW tools,
226 % especially mdwmath.sty and mdwtab.sty which are used to format equations
227 % and tables, respectively. The MDWtools set is already installed on most
228 % LaTeX systems. The lastest version and documentation is available at:
229 % http://www.ctan.org/tex-archive/macros/latex/contrib/mdwtools/
230
231
232 % IEEEtran contains the IEEEeqnarray family of commands that can be used to
233 % generate multiline equations as well as matrices, tables, etc., of high
234 % quality.
235
236
237 %\usepackage{eqparbox}
238 % Also of notable interest is Scott Pakin's eqparbox package for creating
239 % (automatically sized) equal width boxes - aka "natural width parboxes".
240 % Available at:
241 % http://www.ctan.org/tex-archive/macros/latex/contrib/eqparbox/
242
243
244
245
246
247 % *** SUBFIGURE PACKAGES ***
248 %\usepackage[tight,footnotesize]{subfigure}
249 % subfigure.sty was written by Steven Douglas Cochran. This package makes it
250 % easy to put subfigures in your figures. e.g., "Figure 1a and 1b". For IEEE
251 % work, it is a good idea to load it with the tight package option to reduce
252 % the amount of white space around the subfigures. subfigure.sty is already
253 % installed on most LaTeX systems. The latest version and documentation can
254 % be obtained at:
255 % http://www.ctan.org/tex-archive/obsolete/macros/latex/contrib/subfigure/
256 % subfigure.sty has been superceeded by subfig.sty.
257
258
259
260 %\usepackage[caption=false]{caption}
261 %\usepackage[font=footnotesize]{subfig}
262 % subfig.sty, also written by Steven Douglas Cochran, is the modern
263 % replacement for subfigure.sty. However, subfig.sty requires and
264 % automatically loads Axel Sommerfeldt's caption.sty which will override
265 % IEEEtran.cls handling of captions and this will result in nonIEEE style
266 % figure/table captions. To prevent this problem, be sure and preload
267 % caption.sty with its "caption=false" package option. This is will preserve
268 % IEEEtran.cls handing of captions. Version 1.3 (2005/06/28) and later 
269 % (recommended due to many improvements over 1.2) of subfig.sty supports
270 % the caption=false option directly:
271 %\usepackage[caption=false,font=footnotesize]{subfig}
272 %
273 % The latest version and documentation can be obtained at:
274 % http://www.ctan.org/tex-archive/macros/latex/contrib/subfig/
275 % The latest version and documentation of caption.sty can be obtained at:
276 % http://www.ctan.org/tex-archive/macros/latex/contrib/caption/
277
278
279
280
281 % *** FLOAT PACKAGES ***
282 %
283 %\usepackage{fixltx2e}
284 % fixltx2e, the successor to the earlier fix2col.sty, was written by
285 % Frank Mittelbach and David Carlisle. This package corrects a few problems
286 % in the LaTeX2e kernel, the most notable of which is that in current
287 % LaTeX2e releases, the ordering of single and double column floats is not
288 % guaranteed to be preserved. Thus, an unpatched LaTeX2e can allow a
289 % single column figure to be placed prior to an earlier double column
290 % figure. The latest version and documentation can be found at:
291 % http://www.ctan.org/tex-archive/macros/latex/base/
292
293
294
295 %\usepackage{stfloats}
296 % stfloats.sty was written by Sigitas Tolusis. This package gives LaTeX2e
297 % the ability to do double column floats at the bottom of the page as well
298 % as the top. (e.g., "\begin{figure*}[!b]" is not normally possible in
299 % LaTeX2e). It also provides a command:
300 %\fnbelowfloat
301 % to enable the placement of footnotes below bottom floats (the standard
302 % LaTeX2e kernel puts them above bottom floats). This is an invasive package
303 % which rewrites many portions of the LaTeX2e float routines. It may not work
304 % with other packages that modify the LaTeX2e float routines. The latest
305 % version and documentation can be obtained at:
306 % http://www.ctan.org/tex-archive/macros/latex/contrib/sttools/
307 % Documentation is contained in the stfloats.sty comments as well as in the
308 % presfull.pdf file. Do not use the stfloats baselinefloat ability as IEEE
309 % does not allow \baselineskip to stretch. Authors submitting work to the
310 % IEEE should note that IEEE rarely uses double column equations and
311 % that authors should try to avoid such use. Do not be tempted to use the
312 % cuted.sty or midfloat.sty packages (also by Sigitas Tolusis) as IEEE does
313 % not format its papers in such ways.
314
315
316
317
318
319 % *** PDF, URL AND HYPERLINK PACKAGES ***
320 %
321 %\usepackage{url}
322 % url.sty was written by Donald Arseneau. It provides better support for
323 % handling and breaking URLs. url.sty is already installed on most LaTeX
324 % systems. The latest version can be obtained at:
325 % http://www.ctan.org/tex-archive/macros/latex/contrib/misc/
326 % Read the url.sty source comments for usage information. Basically,
327 % \url{my_url_here}.
328
329
330
331
332
333 % *** Do not adjust lengths that control margins, column widths, etc. ***
334 % *** Do not use packages that alter fonts (such as pslatex).         ***
335 % There should be no need to do such things with IEEEtran.cls V1.6 and later.
336 % (Unless specifically asked to do so by the journal or conference you plan
337 % to submit to, of course. )
338
339 % correct bad hyphenation here
340 \hyphenation{op-tical net-works semi-conduc-tor}
341
342 % Macro for certain acronyms in small caps. Doesn't work with the
343 % default font, though (it contains no smallcaps it seems).
344 \def\acro#1{{\small{#1}}}
345 \def\VHDL{\acro{VHDL}}
346 \def\GHC{\acro{GHC}}
347 \def\CLaSH{{\small{C}}$\lambda$a{\small{SH}}}
348
349 % Macro for pretty printing haskell snippets. Just monospaced for now, perhaps
350 % we'll get something more complex later on.
351 \def\hs#1{\texttt{#1}}
352 \def\quote#1{``{#1}"}
353
354 \newenvironment{xlist}[1][\rule{0em}{0em}]{%
355   \begin{list}{}{%
356     \settowidth{\labelwidth}{#1:}
357     \setlength{\labelsep}{0.5em}
358     \setlength{\leftmargin}{\labelwidth}
359     \addtolength{\leftmargin}{\labelsep}
360     \addtolength{\leftmargin}{\parindent}
361     \setlength{\rightmargin}{0pt}
362     \setlength{\listparindent}{\parindent}
363     \setlength{\itemsep}{0 ex plus 0.2ex}
364     \renewcommand{\makelabel}[1]{##1:\hfil}
365     }
366   }
367 {\end{list}}
368
369 \usepackage{paralist}
370 \usepackage{xcolor}
371 \def\comment#1{{\color[rgb]{1.0,0.0,0.0}{#1}}}
372
373 \usepackage{cleveref}
374 \crefname{figure}{figure}{figures}
375 \newcommand{\fref}[1]{\cref{#1}} 
376 \newcommand{\Fref}[1]{\Cref{#1}}
377
378 \usepackage{epstopdf}
379
380 \epstopdfDeclareGraphicsRule{.svg}{pdf}{.pdf}{rsvg-convert --format=pdf < #1 > \noexpand\OutputFile}
381
382 %include polycode.fmt
383 %include clash.fmt
384
385 \begin{document}
386 %
387 % paper title
388 % can use linebreaks \\ within to get better formatting as desired
389 \title{C$\lambda$aSH: Structural Descriptions \\ of Synchronous Hardware using Haskell}
390
391
392 % author names and affiliations
393 % use a multiple column layout for up to three different
394 % affiliations
395 \author{\IEEEauthorblockN{Christiaan P.R. Baaij, Matthijs Kooijman, Jan Kuper, Marco E.T. Gerards}%, Bert Molenkamp, Sabih H. Gerez}
396 \IEEEauthorblockA{%Computer Architecture for Embedded Systems (CAES)\\ 
397 Department of EEMCS, University of Twente\\
398 P.O. Box 217, 7500 AE, Enschede, The Netherlands\\
399 c.p.r.baaij@@utwente.nl, matthijs@@stdin.nl, j.kuper@@utwente.nl}}
400 % \and
401 % \IEEEauthorblockN{Homer Simpson}
402 % \IEEEauthorblockA{Twentieth Century Fox\\
403 % Springfield, USA\\
404 % Email: homer@thesimpsons.com}
405 % \and
406 % \IEEEauthorblockN{James Kirk\\ and Montgomery Scott}
407 % \IEEEauthorblockA{Starfleet Academy\\
408 % San Francisco, California 96678-2391\\
409 % Telephone: (800) 555--1212\\
410 % Fax: (888) 555--1212}}
411
412 % conference papers do not typically use \thanks and this command
413 % is locked out in conference mode. If really needed, such as for
414 % the acknowledgment of grants, issue a \IEEEoverridecommandlockouts
415 % after \documentclass
416
417 % for over three affiliations, or if they all won't fit within the width
418 % of the page, use this alternative format:
419
420 %\author{\IEEEauthorblockN{Michael Shell\IEEEauthorrefmark{1},
421 %Homer Simpson\IEEEauthorrefmark{2},
422 %James Kirk\IEEEauthorrefmark{3}, 
423 %Montgomery Scott\IEEEauthorrefmark{3} and
424 %Eldon Tyrell\IEEEauthorrefmark{4}}
425 %\IEEEauthorblockA{\IEEEauthorrefmark{1}School of Electrical and Computer Engineering\\
426 %Georgia Institute of Technology,
427 %Atlanta, Georgia 30332--0250\\ Email: see http://www.michaelshell.org/contact.html}
428 %\IEEEauthorblockA{\IEEEauthorrefmark{2}Twentieth Century Fox, Springfield, USA\\
429 %Email: homer@thesimpsons.com}
430 %\IEEEauthorblockA{\IEEEauthorrefmark{3}Starfleet Academy, San Francisco, California 96678-2391\\
431 %Telephone: (800) 555--1212, Fax: (888) 555--1212}
432 %\IEEEauthorblockA{\IEEEauthorrefmark{4}Tyrell Inc., 123 Replicant Street, Los Angeles, California 90210--4321}}
433
434
435
436
437 % use for special paper notices
438 %\IEEEspecialpapernotice{(Invited Paper)}
439
440
441
442
443 % make the title area
444 \maketitle
445
446
447 \begin{abstract}
448 %\boldmath
449 \CLaSH\ is a functional hardware description language that borrows both its 
450 syntax and semantics from the functional programming language Haskell. Circuit 
451 descriptions can be translated to synthesizable VHDL using the prototype 
452 \CLaSH\ compiler. As the circuit descriptions are made in plain Haskell, 
453 simulations can also be compiled by a Haskell compiler.
454
455 The use of polymorphism and higher-order functions allow a circuit designer to 
456 describe more abstract and general specifications than are possible in the 
457 traditional hardware description languages.
458 \end{abstract}
459 % IEEEtran.cls defaults to using nonbold math in the Abstract.
460 % This preserves the distinction between vectors and scalars. However,
461 % if the conference you are submitting to favors bold math in the abstract,
462 % then you can use LaTeX's standard command \boldmath at the very start
463 % of the abstract to achieve this. Many IEEE journals/conferences frown on
464 % math in the abstract anyway.
465
466 % no keywords
467
468
469
470
471 % For peer review papers, you can put extra information on the cover
472 % page as needed:
473 % \ifCLASSOPTIONpeerreview
474 % \begin{center} \bfseries EDICS Category: 3-BBND \end{center}
475 % \fi
476 %
477 % For peerreview papers, this IEEEtran command inserts a page break and
478 % creates the second title. It will be ignored for other modes.
479 \IEEEpeerreviewmaketitle
480
481
482 \section{Introduction}
483 Hardware description languages have allowed the productivity of hardware 
484 engineers to keep pace with the development of chip technology. Standard 
485 Hardware description languages, like \VHDL~\cite{VHDL2008} and 
486 Verilog~\cite{Verilog}, allowed an engineer to describe circuits using a 
487 programming language. These standard languages are very good at describing 
488 detailed hardware properties such as timing behavior, but are generally 
489 cumbersome in expressing higher-level abstractions. In an attempt to raise the 
490 abstraction level of the descriptions, a great number of approaches based on 
491 functional languages has been proposed \cite{T-Ruby,Hydra,HML2,Hawk1,Lava,
492 ForSyDe1,Wired,reFLect}. The idea of using functional languages for hardware 
493 descriptions started in the early 1980s \cite{Cardelli1981, muFP,DAISY,FHDL}, 
494 a time which also saw the birth of the currently popular hardware description 
495 languages such as \VHDL. The merit of using a functional language to describe 
496 hardware comes from the fact that combinatorial circuits can be directly 
497 modeled as mathematical functions and that functional languages are very good 
498 at describing and composing mathematical functions.
499
500 In an attempt to decrease the amount of work involved with creating all the 
501 required tooling, such as parsers and type-checkers, many functional hardware 
502 description languages are embedded as a domain specific language inside the 
503 functional language Haskell \cite{Hydra,Hawk1,Lava,ForSyDe1,Wired}. This 
504 means that a developer is given a library of Haskell~\cite{Haskell} functions 
505 and types that together form the language primitives of the domain specific 
506 language. As a result of how the signals are modeled and abstracted, the 
507 functions used to describe a circuit also build a large domain-specific 
508 datatype (hidden from the designer) which can then be processed further by an 
509 embedded compiler. This compiler actually runs in the same environment as the 
510 description; as a result compile-time and run-time become hard to define, as 
511 the embedded compiler is usually compiled by the same Haskell compiler as the 
512 circuit description itself.
513
514 The approach taken in this research is not to make another domain specific 
515 language embedded in Haskell, but to use (a subset of) the Haskell language 
516 itself for the purpose of describing hardware. By taking this approach, we can 
517 capture certain language constructs, such as Haskell's choice elements 
518 (if-constructs, case-constructs, pattern matching, etc.), which are not 
519 available in the functional hardware description languages that are embedded 
520 in Haskell as a domain specific language. As far as the authors know, such 
521 extensive support for choice-elements is new in the domain of functional 
522 hardware description languages. As the hardware descriptions are plain Haskell 
523 functions, these descriptions can be compiled for simulation using an 
524 optimizing Haskell compiler such as the Glasgow Haskell Compiler (\GHC)~\cite{ghc}.
525
526 Where descriptions in a conventional hardware description language have an 
527 explicit clock for the purpose state and synchronicity, the clock is implied 
528 in this research. A developer describes the behavior of the hardware between 
529 clock cycles. The current abstraction of state and time limits the 
530 descriptions to synchronous hardware, there however is room within the 
531 language to eventually add a different abstraction mechanism that will allow 
532 for the modeling of asynchronous systems. Many functional hardware description 
533 model signals as a stream of all values over time; state is then modeled as a 
534 delay on this stream of values. The approach taken in this research is to make 
535 the current state of a circuit part of the input of the function and the 
536 updated state part of the output.
537
538 Like the standard hardware description languages, descriptions made in a 
539 functional hardware description language must eventually be converted into a 
540 netlist. This research also features a prototype translator, which has the 
541 same name as the language: \CLaSH\footnote{C$\lambda$aSH: CAES Language for 
542 Synchronous Hardware} (pronounced: clash). This compiler converts the Haskell 
543 code to equivalently behaving synthesizable \VHDL\ code, ready to be converted 
544 to an actual netlist format by an (optimizing) \VHDL\ synthesis tool.
545
546 Besides trivial circuits such as variants of both the FIR filter and the 
547 simple CPU shown in \Cref{sec:usecases}, the \CLaSH\ compiler has also been 
548 shown to work for non-trivial descriptions. \CLaSH\ has been able to 
549 successfully translate the functional description of a streaming reduction 
550 circuit~\cite{reductioncircuit} for floating point numbers.
551
552 \section{Hardware description in Haskell}
553
554   \subsection{Function application}
555     The basic syntactic elements of a functional program are functions
556     and function application. These have a single obvious translation to a 
557     netlist format: 
558     \begin{inparaenum}
559       \item every function is translated to a component, 
560       \item every function argument is translated to an input port,
561       \item the result value of a function is translated to an output port, 
562             and
563       \item function applications are translated to component instantiations.
564     \end{inparaenum} 
565     The output port can have a complex type (such as a tuple), so having just 
566     a single output port does not pose any limitation. The arguments of a 
567     function application are assigned to signals, which are then mapped to
568     the corresponding input ports of the component. The output port of the 
569     function is also mapped to a signal, which is used as the result of the 
570     application itself.
571
572     Since every top level function generates its own component, the
573     hierarchy of function calls is reflected in the final netlist,% aswell, 
574     creating a hierarchical description of the hardware. This separation in 
575     different components makes the resulting \VHDL\ output easier to read and 
576     debug.
577
578     As an example we can see the netlist of the |mac| function in
579     \Cref{img:mac-comb}; the |mac| function applies both the |mul| and |add|
580     function to calculate $a * b + c$:
581     
582     \begin{code}
583     mac a b c = add (mul a b) c
584     \end{code}
585     
586     \begin{figure}
587     \centerline{\includegraphics{mac.svg}}
588     \caption{Combinatorial Multiply-Accumulate}
589     \label{img:mac-comb}
590     \end{figure}
591     
592     The result of using a complex input type can be seen in 
593     \cref{img:mac-comb-nocurry} where the |mac| function now uses a single
594     input tuple for the |a|, |b|, and |c| arguments:
595     
596     \begin{code}
597     mac (a, b, c) = add (mul a b) c
598     \end{code}
599     
600     \begin{figure}
601     \centerline{\includegraphics{mac-nocurry.svg}}
602     \caption{Combinatorial Multiply-Accumulate (complex input)}
603     \label{img:mac-comb-nocurry}
604     \end{figure}
605
606   \subsection{Choice}
607     In Haskell, choice can be achieved by a large set of language constructs, 
608     consisting of: \hs{case} constructs, \hs{if-then-else} constructs, 
609     pattern matching, and guards. The easiest of these are the \hs{case} 
610     constructs (\hs{if} expressions can be very directly translated to 
611     \hs{case} expressions). A \hs{case} construct is translated to a 
612     multiplexer, where the control value is linked to the selection port and 
613     the  output of each case is linked to the corresponding input port on the 
614     multiplexer.
615     % A \hs{case} expression can in turn simply be translated to a conditional 
616     % assignment in \VHDL, where the conditions use equality comparisons 
617     % against the constructors in the \hs{case} expressions. 
618     We can see two versions of a contrived example below, the first 
619     using a \hs{case} construct and the other using a \hs{if-then-else} 
620     constructs, in the code below. 
621     
622     \begin{code}
623     sumif pred a b = case pred of
624       Eq ->   case a == b of
625         True    -> a + b
626         False   -> 0
627       Neq ->  case a != b of
628         True    -> a + b
629         False   -> 0
630     \end{code}
631
632     \begin{code}
633     sumif pred a b = 
634       if pred == Eq then 
635         if a == b then a + b else 0
636       else 
637         if a != b then a + b else 0
638     \end{code}
639
640     \begin{figure}
641     \centerline{\includegraphics{choice-case.svg}}
642     \caption{Choice - sumif}
643     \label{img:choice}
644     \end{figure}
645     
646     The example sums two values when they are equal or non-equal (depending on 
647     the predicate given) and returns 0 otherwise. Both versions of the example 
648     roughly correspond to the same netlist, which is depicted in 
649     \Cref{img:choice}.
650
651     A slightly more complex (but very powerful) form of choice is pattern 
652     matching. A function can be defined in multiple clauses, where each clause 
653     specifies a pattern. When the arguments match the pattern, the 
654     corresponding clause will be used. Expressions can also contain guards, 
655     where the expression is only executed if the guard evaluates to true. Like 
656     \hs{if-then-else} constructs, pattern matching and guards have a 
657     (straightforward) translation to \hs{case} constructs and can as such be 
658     mapped to multiplexers. A third version of the earlier example, using both 
659     pattern matching and guards, can be seen below. The version using pattern 
660     matching and guards also has roughly the same netlist representation 
661     (\Cref{img:choice}) as the earlier two versions of the example.
662     
663     \begin{code}
664     sumif Eq a b    | a == b      = a + b
665                     | otherwise   = 0
666     sumif Neq a b   | a != b      = a + b
667                     | otherwise   = 0
668     \end{code}
669
670     % \begin{figure}
671     % \centerline{\includegraphics{choice-ifthenelse}}
672     % \caption{Choice - \emph{if-then-else}}
673     % \label{img:choice}
674     % \end{figure}
675
676   \subsection{Types}
677     Haskell is a statically-typed language, meaning that the type of a 
678     variable or function is determined at compile-time. Not all of Haskell's 
679     typing constructs have a clear translation to hardware, as such this 
680     section will only deal with the types that do have a clear correspondence 
681     to hardware. The translatable types are divided into two categories: 
682     \emph{built-in} types and \emph{user-defined} types. Built-in types are 
683     those types for which a direct translation is defined within the \CLaSH\ 
684     compiler; the term user-defined types should not require any further 
685     elaboration. The translatable types are also inferable by the compiler, 
686     meaning that a developer does not have to annotate every function with a 
687     type signature.
688   
689     % Translation of two most basic functional concepts has been
690     % discussed: function application and choice. Before looking further
691     % into less obvious concepts like higher-order expressions and
692     % polymorphism, the possible types that can be used in hardware
693     % descriptions will be discussed.
694     % 
695     % Some way is needed to translate every value used to its hardware
696     % equivalents. In particular, this means a hardware equivalent for
697     % every \emph{type} used in a hardware description is needed.
698     % 
699     % The following types are \emph{built-in}, meaning that their hardware
700     % translation is fixed into the \CLaSH\ compiler. A designer can also
701     % define his own types, which will be translated into hardware types
702     % using translation rules that are discussed later on.
703
704   \subsubsection{Built-in types}
705     The following types have direct translation defined within the \CLaSH\
706     compiler:
707     \begin{xlist}
708       \item[\bf{Bit}]
709         This is the most basic type available. It can have two values:
710         \hs{Low} and \hs{High}. 
711         % It is mapped directly onto the \texttt{std\_logic} \VHDL\ type. 
712       \item[\bf{Bool}]
713         This is a basic logic type. It can have two values: \hs{True}
714         and \hs{False}. 
715         % It is translated to \texttt{std\_logic} exactly like the \hs{Bit} 
716         % type (where a value of \hs{True} corresponds to a value of 
717         % \hs{High}). 
718         Supporting the Bool type is required in order to support the
719         \hs{if-then-else} construct, which requires a \hs{Bool} value for 
720         the condition.
721       \item[\bf{SizedWord}, \bf{SizedInt}]
722         These are types to represent integers. A \hs{SizedWord} is unsigned,
723         while a \hs{SizedInt} is signed. Both are parametrizable in their 
724         size. 
725         % , so you can define an unsigned word of 32 bits wide as follows:
726
727         % \begin{code}
728         % type Word32 = SizedWord D32
729         % \end{code}
730
731         % Here, a type synonym \hs{Word32} is defined that is equal to the
732         % \hs{SizedWord} type constructor applied to the type \hs{D32}. 
733         % \hs{D32} is the \emph{type level representation} of the decimal 
734         % number 32, making the \hs{Word32} type a 32-bit unsigned word. These 
735         % types are translated to the \VHDL\ \texttt{unsigned} and 
736         % \texttt{signed} respectively.
737       \item[\bf{Vector}]
738         This is a vector type that can contain elements of any other type and
739         has a fixed length. The \hs{Vector} type constructor takes two type 
740         arguments: the length of the vector and the type of the elements 
741         contained in it. The short-hand notation used for the vector type in  
742         the rest of paper is: \hs{[a|n]}. Where the \hs{a} is the element 
743         type, and \hs{n} is the length of the vector.
744         % The state type of an 8 element register bank would then for example 
745         % be:
746
747         % \begin{code}
748         % type RegisterState = Vector D8 Word32
749         % \end{code}
750
751         % Here, a type synonym \hs{RegisterState} is defined that is equal to
752         % the \hs{Vector} type constructor applied to the types \hs{D8} (The 
753         % type level representation of the decimal number 8) and \hs{Word32} 
754         % (The 32 bit word type as defined above). In other words, the 
755         % \hs{RegisterState} type is a vector of 8 32-bit words. A fixed size 
756         % vector is translated to a \VHDL\ array type.
757       \item[\bf{Index}]
758         This is another type to describe integers, but unlike the previous
759         two it has no specific bit-width, but an upper bound. This means that
760         its range is not limited to powers of two, but can be any number.
761         An \hs{Index} only has an upper bound, its lower bound is
762         implicitly zero. The main purpose of the \hs{Index} type is to be 
763         used as an index to a \hs{Vector}.
764
765         % \comment{TODO: Perhaps remove this example?} To define an index for 
766         % the 8 element vector above, we would do:
767
768         % \begin{code}
769         % type RegisterIndex = RangedWord D7
770         % \end{code}
771
772         % Here, a type synonym \hs{RegisterIndex} is defined that is equal to
773         % the \hs{RangedWord} type constructor applied to the type \hs{D7}. In
774         % other words, this defines an unsigned word with values from
775         % 0 to 7 (inclusive). This word can be be used to index the
776         % 8 element vector \hs{RegisterState} above. This type is translated 
777         % to the \texttt{unsigned} \VHDL type.
778     \end{xlist}
779
780   \subsubsection{User-defined types}
781     There are three ways to define new types in Haskell: algebraic
782     data-types with the \hs{data} keyword, type synonyms with the \hs{type}
783     keyword and datatype renaming constructs with the \hs{newtype} keyword. 
784     \GHC\ offers a few more advanced ways to introduce types (type families,
785     existential typing, {\small{GADT}}s, etc.) which are not standard Haskell. 
786     As it is currently unclear how these advanced type constructs correspond 
787     with hardware, they are for now unsupported by the \CLaSH\ compiler
788
789     Only an algebraic datatype declaration actually introduces a
790     completely new type. Type synonyms and renaming constructs only define new 
791     names for existing types, where synonyms are completely interchangeable 
792     and renaming constructs need explicit conversions. Therefore, these do not 
793     need any particular translation, a synonym or renamed type will just use 
794     the same representation as the original type. For algebraic types, we can 
795     make the following distinctions: 
796
797     \begin{xlist}
798       \item[\bf{Single constructor}]
799         Algebraic datatypes with a single constructor with one or more
800         fields, are essentially a way to pack a few values together in a
801         record-like structure. Haskell's built-in tuple types are also defined 
802         as single constructor algebraic types  An example of a single 
803         constructor type is the following pair of integers:
804         \begin{code}
805         data IntPair = IntPair Int Int
806         \end{code}
807         % These types are translated to \VHDL\ record types, with one field 
808         % for every field in the constructor.
809       \item[\bf{No fields}]
810         Algebraic datatypes with multiple constructors, but without any
811         fields are essentially a way to get an enumeration-like type
812         containing alternatives. Note that Haskell's \hs{Bool} type is also 
813         defined as an enumeration type, but we have a fixed translation for 
814         that. An example of such an enum type is the type that represents the
815         colors in a traffic light:
816         \begin{code}
817         data TrafficLight = Red | Orange | Green
818         \end{code}
819         % These types are translated to \VHDL\ enumerations, with one 
820         % value for each constructor. This allows references to these 
821         % constructors to be translated to the corresponding enumeration 
822         % value.
823       \item[\bf{Multiple constructors with fields}]
824         Algebraic datatypes with multiple constructors, where at least
825         one of these constructors has one or more fields are currently not 
826         supported.
827     \end{xlist}
828
829   \subsection{Polymorphism}
830     A powerful construct in most functional languages is polymorphism, it 
831     allows a function to handle values of different data types in a uniform 
832     way. Haskell supports \emph{parametric polymorphism}~\cite{polymorphism}, 
833     meaning functions can be written without mention of any specific type and 
834     can be used transparently with any number of new types.
835
836     As an example of a parametric polymorphic function, consider the type of 
837     the following \hs{append} function, which appends an element to a vector:
838     \begin{code}
839     append :: [a|n] -> a -> [a|n + 1]
840     \end{code}
841
842     This type is parameterized by \hs{a}, which can contain any type at
843     all. This means that \hs{append} can append an element to a vector,
844     regardless of the type of the elements in the list (as long as the type of 
845     the value to be added is of the same type as the values in the vector). 
846     This kind of polymorphism is extremely useful in hardware designs to make 
847     operations work on a vector without knowing exactly what elements are 
848     inside, routing signals without knowing exactly what kinds of signals 
849     these are, or working with a vector without knowing exactly how long it 
850     is. Polymorphism also plays an important role in most higher order 
851     functions, as we will see in the next section.
852
853     Another type of polymorphism is \emph{ad-hoc 
854     polymorphism}~\cite{polymorphism}, which refers to polymorphic 
855     functions which can be applied to arguments of different types, but which 
856     behave differently depending on the type of the argument to which they are 
857     applied. In Haskell, ad-hoc polymorphism is achieved through the use of 
858     type classes, where a class definition provides the general interface of a 
859     function, and class instances define the functionality for the specific 
860     types. An example of such a type class is the \hs{Num} class, which 
861     contains all of Haskell's numerical operations. A developer can make use 
862     of this ad-hoc polymorphism by adding a constraint to a parametrically 
863     polymorphic type variable. Such a constraint indicates that the type 
864     variable can only be instantiated to a type whose members supports the 
865     overloaded functions associated with the type class. 
866     
867     As an example we will take a look at type signature of the function 
868     \hs{sum}, which sums the values in a vector:
869     \begin{code}
870     sum :: Num a => [a|n] -> a
871     \end{code}
872
873     This type is again parameterized by \hs{a}, but it can only contain
874     types that are \emph{instances} of the \emph{type class} \hs{Num}, so that  
875     we know that the addition (+) operator is defined for that type. 
876     \CLaSH's built-in numerical types are also instances of the \hs{Num}
877     class, so we can use the addition operator on \hs{SizedWords} as
878     well as on \hs{SizedInts}.
879
880     In \CLaSH, parametric polymorphism is completely supported. Any function 
881     defined can have any number of unconstrained type parameters. The \CLaSH\ 
882     compiler will infer the type of every such argument depending on how the 
883     function is applied. There is one exception to this: The top level 
884     function that is translated, can not have any polymorphic arguments (as 
885     they are never applied, so there is no way to find out the actual types 
886     for the type parameters).
887
888     \CLaSH\ does not support user-defined type classes, but does use some
889     of the built-in type classes for its built-in function, such as: \hs{Num} 
890     for numerical operations, \hs{Eq} for the equality operators, and
891     \hs{Ord} for the comparison/order operators.
892
893   \subsection{Higher-order functions \& values}
894     Another powerful abstraction mechanism in functional languages, is
895     the concept of \emph{higher-order functions}, or \emph{functions as
896     a first class value}. This allows a function to be treated as a
897     value and be passed around, even as the argument of another
898     function. The following example should clarify this concept:
899     
900     \begin{code}
901     negVector xs = map not xs
902     \end{code}
903
904     The code above defines a function \hs{negVector}, which takes a vector of
905     booleans, and returns a vector where all the values are negated. It 
906     achieves this by calling the \hs{map} function, and passing it 
907     \emph{another function}, boolean negation, and the vector of booleans, 
908     \hs{xs}. The \hs{map} function applies the negation function to all the 
909     elements in the vector.
910
911     The \hs{map} function is called a higher-order function, since it takes 
912     another function as an argument. Also note that \hs{map} is again a 
913     parametric polymorphic function: It does not pose any constraints on the 
914     type of the vector elements, other than that it must be the same type as 
915     the input type of the function passed to \hs{map}. The element type of the 
916     resulting vector is equal to the return type of the function passed, which 
917     need not necessarily be the same as the element type of the input vector. 
918     All of these characteristics  can readily be inferred from the type 
919     signature belonging to \hs{map}:
920
921     \begin{code}
922     map :: (a -> b) -> [a|n] -> [b|n]
923     \end{code}
924
925     So far, only functions have been used as higher-order values. In
926     Haskell, there are two more ways to obtain a function-typed value:
927     partial application and lambda abstraction. Partial application
928     means that a function that takes multiple arguments can be applied
929     to a single argument, and the result will again be a function (but
930     that takes one argument less). As an example, consider the following
931     expression, that adds one to every element of a vector:
932
933     \begin{code}
934     map ((+) 1) xs
935     \end{code}
936
937     Here, the expression \hs{(+) 1} is the partial application of the
938     plus operator to the value \hs{1}, which is again a function that
939     adds one to its argument. A lambda expression allows one to introduce an 
940     anonymous function in any expression. Consider the following expression, 
941     which again adds one to every element of a vector:
942
943     \begin{code}
944     map (\x -> x + 1) xs
945     \end{code}
946
947     Finally, higher order arguments are not limited to just built-in
948     functions, but any function defined in \CLaSH\ can have function
949     arguments. This allows the hardware designer to use a powerful
950     abstraction mechanism in his designs and have an optimal amount of
951     code reuse.
952
953     \comment{TODO: Describe ALU example (no code)}
954
955   \subsection{State}
956     A very important concept in hardware it the concept of state. In a 
957     stateful design, the outputs depend on the history of the inputs, or the 
958     state. State is usually stored in registers, which retain their value 
959     during a clock cycle. As we want to describe more than simple 
960     combinatorial designs, \CLaSH\ needs an abstraction mechanism for state.
961
962     An important property in Haskell, and in most other functional languages, 
963     is \emph{purity}. A function is said to be \emph{pure} if it satisfies two
964     conditions:
965     \begin{inparaenum}
966       \item given the same arguments twice, it should return the same value in 
967       both cases, and
968       \item when the function is called, it should not have observable 
969       side-effects.
970     \end{inparaenum}
971     % This purity property is important for functional languages, since it 
972     % enables all kinds of mathematical reasoning that could not be guaranteed 
973     % correct for impure functions. 
974     Pure functions are as such a perfect match or a combinatorial circuit, 
975     where the output solely depends on the  inputs. When a circuit has state 
976     however, it can no longer be simply described by a pure function. 
977     % Simply removing the purity property is not a valid option, as the 
978     % language would then lose many of it mathematical properties. 
979     In an effort to include the concept of state in pure 
980     functions, the current value of the state is made an argument of the  
981     function; the updated state becomes part of the result. In this sense the
982     descriptions made in \CLaSH are the describing the combinatorial parts of 
983     a mealy machine.
984     
985     A simple example is adding an accumulator register to the earlier 
986     multiply-accumulate circuit, of which the resulting netlist can be seen in 
987     \Cref{img:mac-state}:
988     
989     \begin{code}
990     macS (State c) a b = (State c', outp)
991       where
992         outp  = mac a b c
993         c'    = outp
994     \end{code}
995     
996     \begin{figure}
997     \centerline{\includegraphics{mac-state.svg}}
998     \caption{Stateful Multiply-Accumulate}
999     \label{img:mac-state}
1000     \end{figure}
1001     
1002     The \hs{State} keyword indicates which arguments are part of the current 
1003     state, and what part of the output is part of the updated state. This 
1004     aspect will also reflected in the type signature of the function. 
1005     Abstracting the state of a circuit in this way makes it very explicit: 
1006     which variables are part of the state is completely determined by the 
1007     type signature. This approach to state is well suited to be used in 
1008     combination with the existing code and language features, such as all the 
1009     choice constructs, as state values are just normal values. We can simulate 
1010     stateful descriptions using the recursive \hs{run} function:
1011     
1012     \begin{code}
1013     run f s (i:inps) = o : (run f s' inps)
1014       where
1015         (s', o) = f s i
1016     \end{code}
1017     
1018     The \hs{run} function maps a list of inputs over the function that a 
1019     developer wants to simulate, passing the state to each new iteration. Each
1020     value in the input list corresponds to exactly one cycle of the (implicit) 
1021     clock. The result of the simulation is a list of outputs for every clock
1022     cycle. As both the \hs{run} function and the hardware description are 
1023     plain Haskell, the complete simulation can be compiled by an optimizing
1024     Haskell compiler.
1025     
1026 \section{\CLaSH\ prototype}
1027
1028 The \CLaSH\ language as presented above can be translated to \VHDL\ using
1029 the prototype \CLaSH\ compiler. This compiler allows experimentation with
1030 the \CLaSH\ language and allows for running \CLaSH\ designs on actual FPGA
1031 hardware.
1032
1033 \begin{figure}
1034 \centerline{\includegraphics{compilerpipeline.svg}}
1035 \caption{\CLaSH\ compiler pipeline}
1036 \label{img:compilerpipeline}
1037 \end{figure}
1038
1039 The prototype heavily uses \GHC, the Glasgow Haskell Compiler. 
1040 \Cref{img:compilerpipeline} shows the \CLaSH\ compiler pipeline. As you can 
1041 see, the front-end is completely reused from \GHC, which allows the \CLaSH\ 
1042 prototype to support most of the Haskell Language. The \GHC\ front-end 
1043 produces the program in the \emph{Core} format, which is a very small, 
1044 functional, typed language which is relatively easy to process.
1045
1046 The second step in the compilation process is \emph{normalization}. This
1047 step runs a number of \emph{meaning preserving} transformations on the
1048 Core program, to bring it into a \emph{normal form}. This normal form
1049 has a number of restrictions that make the program similar to hardware.
1050 In particular, a program in normal form no longer has any polymorphism
1051 or higher order functions.
1052
1053 The final step is a simple translation to \VHDL.
1054
1055 \section{Use cases}
1056 \label{sec:usecases}
1057 As an example of a common hardware design where the use of higher-order
1058 functions leads to a very natural description is a FIR filter, which is 
1059 basically the dot-product of two vectors:
1060
1061 \begin{equation}
1062 y_t  = \sum\nolimits_{i = 0}^{n - 1} {x_{t - i}  \cdot h_i } 
1063 \end{equation}
1064
1065 A FIR filter multiplies fixed constants ($h$) with the current 
1066 and a few previous input samples ($x$). Each of these multiplications
1067 are summed, to produce the result at time $t$. The equation of a FIR 
1068 filter is indeed equivalent to the equation of the dot-product, which is 
1069 shown below:
1070
1071 \begin{equation}
1072 \mathbf{x}\bullet\mathbf{y} = \sum\nolimits_{i = 0}^{n - 1} {x_i \cdot y_i } 
1073 \end{equation}
1074
1075 We can easily and directly implement the equation for the dot-product
1076 using higher-order functions:
1077
1078 \begin{code}
1079 xs *+* ys = foldl1 (+) (zipWith (*) xs hs)
1080 \end{code}
1081
1082 The \hs{zipWith} function is very similar to the \hs{map} function seen 
1083 earlier: It takes a function, two vectors, and then applies the function to 
1084 each of the elements in the two vectors pairwise (\emph{e.g.}, \hs{zipWith (*) 
1085 [1, 2] [3, 4]} becomes \hs{[1 * 3, 2 * 4]} $\equiv$ \hs{[3,8]}).
1086
1087 The \hs{foldl1} function takes a function, a single vector, and applies 
1088 the function to the first two elements of the vector. It then applies the
1089 function to the result of the first application and the next element from 
1090 the vector. This continues until the end of the vector is reached. The 
1091 result of the \hs{foldl1} function is the result of the last application.
1092 As you can see, the \hs{zipWith (*)} function is just pairwise 
1093 multiplication and the \hs{foldl1 (+)} function is just summation.
1094
1095 Returning to the actual FIR filter, we will slightly change the
1096 equation belong to it, so as to make the translation to code more obvious.
1097 What we will do is change the definition of the vector of input samples.
1098 So, instead of having the input sample received at time
1099 $t$ stored in $x_t$, $x_0$ now always stores the current sample, and $x_i$
1100 stores the $ith$ previous sample. This changes the equation to the
1101 following (Note that this is completely equivalent to the original
1102 equation, just with a different definition of $x$ that will better suit
1103 the transformation to code):
1104
1105 \begin{equation}
1106 y_t  = \sum\nolimits_{i = 0}^{n - 1} {x_i  \cdot h_i } 
1107 \end{equation}
1108
1109 Consider that the vector \hs{hs} contains the FIR coefficients and the 
1110 vector \hs{xs} contains the current input sample in front and older 
1111 samples behind. The function that shifts the input samples is shown below:
1112
1113 \begin{code}
1114 x >> xs = x +> tail xs  
1115 \end{code}
1116
1117 Where the \hs{tail} function returns all but the first element of a 
1118 vector, and the concatenate operator ($\succ$) adds a new element to the 
1119 left of a vector. The complete definition of the FIR filter then becomes:
1120
1121 \begin{code}
1122 fir (State (xs,hs)) x = (State (x >> xs,hs), xs *+* hs)
1123 \end{code}
1124
1125 The resulting netlist of a 4-taps FIR filter based on the above definition
1126 is depicted in \Cref{img:4tapfir}.
1127
1128 \begin{figure}
1129 \centerline{\includegraphics{4tapfir.svg}}
1130 \caption{4-taps FIR Filter}
1131 \label{img:4tapfir}
1132 \end{figure}
1133
1134 \section{Related work}
1135 Many functional hardware description languages have been developed over the 
1136 years. Early work includes such languages as $\mu$\acro{FP}~\cite{muFP}, an 
1137 extension of Backus' \acro{FP} language to synchronous streams, designed 
1138 particularly for describing and reasoning about regular circuits. The 
1139 Ruby~\cite{Ruby} language uses relations, instead of functions, to describe 
1140 circuits, and has a particular focus on layout. 
1141
1142 \acro{HML}~\cite{HML2} is a hardware modeling language based on the strict 
1143 functional language \acro{ML}, and has support for polymorphic types and 
1144 higher-order functions. Published work suggests that there is no direct 
1145 simulation support for \acro{HML}, but that a description in \acro{HML} has to 
1146 be translated to \VHDL\ and that the translated description can than be 
1147 simulated in a \VHDL\ simulator. Also not all of the mentioned language 
1148 features of \acro{HML} could be translated to hardware. The \CLaSH\ compiler 
1149 on the other hand can correctly translate all of the language constructs 
1150 mentioned in this paper to a netlist format.
1151
1152 Like this work, many functional hardware description languages have some sort 
1153 of foundation in the functional programming language Haskell. 
1154 Hawk~\cite{Hawk1} uses Haskell to describe system-level executable 
1155 specifications used to model the behavior of superscalar microprocessors. Hawk 
1156 specifications can be simulated, but there seems to be no support for 
1157 automated circuit synthesis. 
1158
1159 The ForSyDe~\cite{ForSyDe2} system uses Haskell to specify abstract system 
1160 models, which can (manually) be transformed into an implementation model using 
1161 semantic preserving transformations. A designer can model systems using 
1162 heterogeneous models of computation, which include continuous time, 
1163 synchronous and untimed models of computation. Using so-called domain 
1164 interfaces a designer can simulate electronic systems which have both analog 
1165 as digital parts. ForSyDe has several backends including simulation and 
1166 automated synthesis, though automated synthesis is restricted to the 
1167 synchronous model of computation within ForSyDe. Unlike \CLaSH\ there is no 
1168 support for the automated synthesis of descriptions that contain polymorphism 
1169 or higher-order functions.
1170
1171 Lava~\cite{Lava} is a hardware description language that focuses on the 
1172 structural representation of hardware. Besides support for simulation and 
1173 circuit synthesis, Lava descriptions can be interfaced with formal method 
1174 tools for formal verification. Lava descriptions are actually circuit 
1175 generators when viewed from a synthesis viewpoint, in that the language 
1176 elements of Haskell, such as choice, can be used to guide the circuit 
1177 generation. If a developer wants to insert a choice element inside an actual 
1178 circuit he will have to explicitly instantiate a multiplexer-like component. 
1179
1180 In this respect \CLaSH\ differs from Lava, in that all the choice elements, 
1181 such as case-statements and pattern matching, are synthesized to choice 
1182 elements in the eventual circuit. As such, richer control structures can both 
1183 be specified and synthesized in \CLaSH\ compared to any of the languages 
1184 mentioned in this section.
1185
1186 The merits of polymorphic typing, combined with higher-order functions, are 
1187 now also recognized in the `main-stream' hardware description languages, 
1188 exemplified by the new \VHDL-2008 standard~\cite{VHDL2008}. \VHDL-2008 support for generics has been extended to types, allowing a developer to describe 
1189 polymorphic components. Note that those types still require an explicit 
1190 generic map, whereas types can be automatically inferred in \CLaSH.
1191
1192 % Wired~\cite{Wired},, T-Ruby~\cite{T-Ruby}, Hydra~\cite{Hydra}. 
1193
1194 % A functional language designed specifically for hardware design is 
1195 % $re{\mathit{FL}}^{ect}$~\cite{reFLect}, which draws experience from earlier 
1196 % language called \acro{FL}~\cite{FL} to la
1197
1198 % An example of a floating figure using the graphicx package.
1199 % Note that \label must occur AFTER (or within) \caption.
1200 % For figures, \caption should occur after the \includegraphics.
1201 % Note that IEEEtran v1.7 and later has special internal code that
1202 % is designed to preserve the operation of \label within \caption
1203 % even when the captionsoff option is in effect. However, because
1204 % of issues like this, it may be the safest practice to put all your
1205 % \label just after \caption rather than within \caption{}.
1206 %
1207 % Reminder: the "draftcls" or "draftclsnofoot", not "draft", class
1208 % option should be used if it is desired that the figures are to be
1209 % displayed while in draft mode.
1210 %
1211 %\begin{figure}[!t]
1212 %\centering
1213 %\includegraphics[width=2.5in]{myfigure}
1214 % where an .eps filename suffix will be assumed under latex, 
1215 % and a .pdf suffix will be assumed for pdflatex; or what has been declared
1216 % via \DeclareGraphicsExtensions.
1217 %\caption{Simulation Results}
1218 %\label{fig_sim}
1219 %\end{figure}
1220
1221 % Note that IEEE typically puts floats only at the top, even when this
1222 % results in a large percentage of a column being occupied by floats.
1223
1224
1225 % An example of a double column floating figure using two subfigures.
1226 % (The subfig.sty package must be loaded for this to work.)
1227 % The subfigure \label commands are set within each subfloat command, the
1228 % \label for the overall figure must come after \caption.
1229 % \hfil must be used as a separator to get equal spacing.
1230 % The subfigure.sty package works much the same way, except \subfigure is
1231 % used instead of \subfloat.
1232 %
1233 %\begin{figure*}[!t]
1234 %\centerline{\subfloat[Case I]\includegraphics[width=2.5in]{subfigcase1}%
1235 %\label{fig_first_case}}
1236 %\hfil
1237 %\subfloat[Case II]{\includegraphics[width=2.5in]{subfigcase2}%
1238 %\label{fig_second_case}}}
1239 %\caption{Simulation results}
1240 %\label{fig_sim}
1241 %\end{figure*}
1242 %
1243 % Note that often IEEE papers with subfigures do not employ subfigure
1244 % captions (using the optional argument to \subfloat), but instead will
1245 % reference/describe all of them (a), (b), etc., within the main caption.
1246
1247
1248 % An example of a floating table. Note that, for IEEE style tables, the 
1249 % \caption command should come BEFORE the table. Table text will default to
1250 % \footnotesize as IEEE normally uses this smaller font for tables.
1251 % The \label must come after \caption as always.
1252 %
1253 %\begin{table}[!t]
1254 %% increase table row spacing, adjust to taste
1255 %\renewcommand{\arraystretch}{1.3}
1256 % if using array.sty, it might be a good idea to tweak the value of
1257 % \extrarowheight as needed to properly center the text within the cells
1258 %\caption{An Example of a Table}
1259 %\label{table_example}
1260 %\centering
1261 %% Some packages, such as MDW tools, offer better commands for making tables
1262 %% than the plain LaTeX2e tabular which is used here.
1263 %\begin{tabular}{|c||c|}
1264 %\hline
1265 %One & Two\\
1266 %\hline
1267 %Three & Four\\
1268 %\hline
1269 %\end{tabular}
1270 %\end{table}
1271
1272
1273 % Note that IEEE does not put floats in the very first column - or typically
1274 % anywhere on the first page for that matter. Also, in-text middle ("here")
1275 % positioning is not used. Most IEEE journals/conferences use top floats
1276 % exclusively. Note that, LaTeX2e, unlike IEEE journals/conferences, places
1277 % footnotes above bottom floats. This can be corrected via the \fnbelowfloat
1278 % command of the stfloats package.
1279
1280
1281
1282 \section{Conclusion}
1283 The conclusion goes here.
1284
1285
1286
1287
1288 % conference papers do not normally have an appendix
1289
1290
1291 % use section* for acknowledgement
1292 \section*{Acknowledgment}
1293
1294
1295 The authors would like to thank...
1296
1297
1298
1299
1300
1301 % trigger a \newpage just before the given reference
1302 % number - used to balance the columns on the last page
1303 % adjust value as needed - may need to be readjusted if
1304 % the document is modified later
1305 %\IEEEtriggeratref{8}
1306 % The "triggered" command can be changed if desired:
1307 %\IEEEtriggercmd{\enlargethispage{-5in}}
1308
1309 % references section
1310
1311 % can use a bibliography generated by BibTeX as a .bbl file
1312 % BibTeX documentation can be easily obtained at:
1313 % http://www.ctan.org/tex-archive/biblio/bibtex/contrib/doc/
1314 % The IEEEtran BibTeX style support page is at:
1315 % http://www.michaelshell.org/tex/ieeetran/bibtex/
1316 \bibliographystyle{IEEEtran}
1317 % argument is your BibTeX string definitions and bibliography database(s)
1318 \bibliography{clash}
1319 %
1320 % <OR> manually copy in the resultant .bbl file
1321 % set second argument of \begin to the number of references
1322 % (used to reserve space for the reference number labels box)
1323 % \begin{thebibliography}{1}
1324
1325 % \bibitem{IEEEhowto:kopka}
1326 % H.~Kopka and P.~W. Daly, \emph{A Guide to \LaTeX}, 3rd~ed.\hskip 1em plus
1327 %   0.5em minus 0.4em\relax Harlow, England: Addison-Wesley, 1999.
1328
1329 % \end{thebibliography}
1330
1331
1332
1333
1334 % that's all folks
1335 \end{document}
1336
1337 % vim: set ai sw=2 sts=2 expandtab: