1b3dc1323f3e7fc61ce227c2d6f1c63bf6424273
[matthijs/master-project/dsd-paper.git] / cλash.lhs
1
2 %% bare_conf.tex
3 %% V1.3
4 %% 2007/01/11
5 %% by Michael Shell
6 %% See:
7 %% http://www.michaelshell.org/
8 %% for current contact information.
9 %%
10 %% This is a skeleton file demonstrating the use of IEEEtran.cls
11 %% (requires IEEEtran.cls version 1.7 or later) with an IEEE conference paper.
12 %%
13 %% Support sites:
14 %% http://www.michaelshell.org/tex/ieeetran/
15 %% http://www.ctan.org/tex-archive/macros/latex/contrib/IEEEtran/
16 %% and
17 %% http://www.ieee.org/
18
19 %%*************************************************************************
20 %% Legal Notice:
21 %% This code is offered as-is without any warranty either expressed or
22 %% implied; without even the implied warranty of MERCHANTABILITY or
23 %% FITNESS FOR A PARTICULAR PURPOSE! 
24 %% User assumes all risk.
25 %% In no event shall IEEE or any contributor to this code be liable for
26 %% any damages or losses, including, but not limited to, incidental,
27 %% consequential, or any other damages, resulting from the use or misuse
28 %% of any information contained here.
29 %%
30 %% All comments are the opinions of their respective authors and are not
31 %% necessarily endorsed by the IEEE.
32 %%
33 %% This work is distributed under the LaTeX Project Public License (LPPL)
34 %% ( http://www.latex-project.org/ ) version 1.3, and may be freely used,
35 %% distributed and modified. A copy of the LPPL, version 1.3, is included
36 %% in the base LaTeX documentation of all distributions of LaTeX released
37 %% 2003/12/01 or later.
38 %% Retain all contribution notices and credits.
39 %% ** Modified files should be clearly indicated as such, including  **
40 %% ** renaming them and changing author support contact information. **
41 %%
42 %% File list of work: IEEEtran.cls, IEEEtran_HOWTO.pdf, bare_adv.tex,
43 %%                    bare_conf.tex, bare_jrnl.tex, bare_jrnl_compsoc.tex
44 %%*************************************************************************
45
46 % *** Authors should verify (and, if needed, correct) their LaTeX system  ***
47 % *** with the testflow diagnostic prior to trusting their LaTeX platform ***
48 % *** with production work. IEEE's font choices can trigger bugs that do  ***
49 % *** not appear when using other class files.                            ***
50 % The testflow support page is at:
51 % http://www.michaelshell.org/tex/testflow/
52
53
54
55 % Note that the a4paper option is mainly intended so that authors in
56 % countries using A4 can easily print to A4 and see how their papers will
57 % look in print - the typesetting of the document will not typically be
58 % affected with changes in paper size (but the bottom and side margins will).
59 % Use the testflow package mentioned above to verify correct handling of
60 % both paper sizes by the user's LaTeX system.
61 %
62 % Also note that the "draftcls" or "draftclsnofoot", not "draft", option
63 % should be used if it is desired that the figures are to be displayed in
64 % draft mode.
65 %
66
67 \documentclass[conference,pdf,a4paper,10pt,final,twoside,twocolumn]{IEEEtran}
68 % Add the compsoc option for Computer Society conferences.
69 %
70 % If IEEEtran.cls has not been installed into the LaTeX system files,
71 % manually specify the path to it like:
72 % \documentclass[conference]{../sty/IEEEtran}
73
74 % Some very useful LaTeX packages include:
75 % (uncomment the ones you want to load)
76
77 % *** MISC UTILITY PACKAGES ***
78 %
79 %\usepackage{ifpdf}
80 % Heiko Oberdiek's ifpdf.sty is very useful if you need conditional
81 % compilation based on whether the output is pdf or dvi.
82 % usage:
83 % \ifpdf
84 %   % pdf code
85 % \else
86 %   % dvi code
87 % \fi
88 % The latest version of ifpdf.sty can be obtained from:
89 % http://www.ctan.org/tex-archive/macros/latex/contrib/oberdiek/
90 % Also, note that IEEEtran.cls V1.7 and later provides a builtin
91 % \ifCLASSINFOpdf conditional that works the same way.
92 % When switching from latex to pdflatex and vice-versa, the compiler may
93 % have to be run twice to clear warning/error messages.
94
95
96
97 % *** CITATION PACKAGES ***
98 %
99 \usepackage{cite}
100 % cite.sty was written by Donald Arseneau
101 % V1.6 and later of IEEEtran pre-defines the format of the cite.sty package
102 % \cite{} output to follow that of IEEE. Loading the cite package will
103 % result in citation numbers being automatically sorted and properly
104 % "compressed/ranged". e.g., [1], [9], [2], [7], [5], [6] without using
105 % cite.sty will become [1], [2], [5]--[7], [9] using cite.sty. cite.sty's
106 % \cite will automatically add leading space, if needed. Use cite.sty's
107 % noadjust option (cite.sty V3.8 and later) if you want to turn this off.
108 % cite.sty is already installed on most LaTeX systems. Be sure and use
109 % version 4.0 (2003-05-27) and later if using hyperref.sty. cite.sty does
110 % not currently provide for hyperlinked citations.
111 % The latest version can be obtained at:
112 % http://www.ctan.org/tex-archive/macros/latex/contrib/cite/
113 % The documentation is contained in the cite.sty file itself.
114
115
116
117
118
119
120 % *** GRAPHICS RELATED PACKAGES ***
121 %
122 \ifCLASSINFOpdf
123   \usepackage[pdftex]{graphicx}
124   % declare the path(s) where your graphic files are
125   % \graphicspath{{../pdf/}{../jpeg/}}
126   % and their extensions so you won't have to specify these with
127   % every instance of \includegraphics
128   % \DeclareGraphicsExtensions{.pdf,.jpeg,.png}
129 \else
130   % or other class option (dvipsone, dvipdf, if not using dvips). graphicx
131   % will default to the driver specified in the system graphics.cfg if no
132   % driver is specified.
133   % \usepackage[dvips]{graphicx}
134   % declare the path(s) where your graphic files are
135   % \graphicspath{{../eps/}}
136   % and their extensions so you won't have to specify these with
137   % every instance of \includegraphics
138   % \DeclareGraphicsExtensions{.eps}
139 \fi
140 % graphicx was written by David Carlisle and Sebastian Rahtz. It is
141 % required if you want graphics, photos, etc. graphicx.sty is already
142 % installed on most LaTeX systems. The latest version and documentation can
143 % be obtained at: 
144 % http://www.ctan.org/tex-archive/macros/latex/required/graphics/
145 % Another good source of documentation is "Using Imported Graphics in
146 % LaTeX2e" by Keith Reckdahl which can be found as epslatex.ps or
147 % epslatex.pdf at: http://www.ctan.org/tex-archive/info/
148 %
149 % latex, and pdflatex in dvi mode, support graphics in encapsulated
150 % postscript (.eps) format. pdflatex in pdf mode supports graphics
151 % in .pdf, .jpeg, .png and .mps (metapost) formats. Users should ensure
152 % that all non-photo figures use a vector format (.eps, .pdf, .mps) and
153 % not a bitmapped formats (.jpeg, .png). IEEE frowns on bitmapped formats
154 % which can result in "jaggedy"/blurry rendering of lines and letters as
155 % well as large increases in file sizes.
156 %
157 % You can find documentation about the pdfTeX application at:
158 % http://www.tug.org/applications/pdftex
159
160
161
162
163
164 % *** MATH PACKAGES ***
165 %
166 %\usepackage[cmex10]{amsmath}
167 % A popular package from the American Mathematical Society that provides
168 % many useful and powerful commands for dealing with mathematics. If using
169 % it, be sure to load this package with the cmex10 option to ensure that
170 % only type 1 fonts will utilized at all point sizes. Without this option,
171 % it is possible that some math symbols, particularly those within
172 % footnotes, will be rendered in bitmap form which will result in a
173 % document that can not be IEEE Xplore compliant!
174 %
175 % Also, note that the amsmath package sets \interdisplaylinepenalty to 10000
176 % thus preventing page breaks from occurring within multiline equations. Use:
177 %\interdisplaylinepenalty=2500
178 % after loading amsmath to restore such page breaks as IEEEtran.cls normally
179 % does. amsmath.sty is already installed on most LaTeX systems. The latest
180 % version and documentation can be obtained at:
181 % http://www.ctan.org/tex-archive/macros/latex/required/amslatex/math/
182
183
184
185
186
187 % *** SPECIALIZED LIST PACKAGES ***
188 %
189 %\usepackage{algorithmic}
190 % algorithmic.sty was written by Peter Williams and Rogerio Brito.
191 % This package provides an algorithmic environment fo describing algorithms.
192 % You can use the algorithmic environment in-text or within a figure
193 % environment to provide for a floating algorithm. Do NOT use the algorithm
194 % floating environment provided by algorithm.sty (by the same authors) or
195 % algorithm2e.sty (by Christophe Fiorio) as IEEE does not use dedicated
196 % algorithm float types and packages that provide these will not provide
197 % correct IEEE style captions. The latest version and documentation of
198 % algorithmic.sty can be obtained at:
199 % http://www.ctan.org/tex-archive/macros/latex/contrib/algorithms/
200 % There is also a support site at:
201 % http://algorithms.berlios.de/index.html
202 % Also of interest may be the (relatively newer and more customizable)
203 % algorithmicx.sty package by Szasz Janos:
204 % http://www.ctan.org/tex-archive/macros/latex/contrib/algorithmicx/
205
206
207
208
209 % *** ALIGNMENT PACKAGES ***
210 %
211 %\usepackage{array}
212 % Frank Mittelbach's and David Carlisle's array.sty patches and improves
213 % the standard LaTeX2e array and tabular environments to provide better
214 % appearance and additional user controls. As the default LaTeX2e table
215 % generation code is lacking to the point of almost being broken with
216 % respect to the quality of the end results, all users are strongly
217 % advised to use an enhanced (at the very least that provided by array.sty)
218 % set of table tools. array.sty is already installed on most systems. The
219 % latest version and documentation can be obtained at:
220 % http://www.ctan.org/tex-archive/macros/latex/required/tools/
221
222
223 %\usepackage{mdwmath}
224 %\usepackage{mdwtab}
225 % Also highly recommended is Mark Wooding's extremely powerful MDW tools,
226 % especially mdwmath.sty and mdwtab.sty which are used to format equations
227 % and tables, respectively. The MDWtools set is already installed on most
228 % LaTeX systems. The lastest version and documentation is available at:
229 % http://www.ctan.org/tex-archive/macros/latex/contrib/mdwtools/
230
231
232 % IEEEtran contains the IEEEeqnarray family of commands that can be used to
233 % generate multiline equations as well as matrices, tables, etc., of high
234 % quality.
235
236
237 %\usepackage{eqparbox}
238 % Also of notable interest is Scott Pakin's eqparbox package for creating
239 % (automatically sized) equal width boxes - aka "natural width parboxes".
240 % Available at:
241 % http://www.ctan.org/tex-archive/macros/latex/contrib/eqparbox/
242
243
244
245
246
247 % *** SUBFIGURE PACKAGES ***
248 %\usepackage[tight,footnotesize]{subfigure}
249 % subfigure.sty was written by Steven Douglas Cochran. This package makes it
250 % easy to put subfigures in your figures. e.g., "Figure 1a and 1b". For IEEE
251 % work, it is a good idea to load it with the tight package option to reduce
252 % the amount of white space around the subfigures. subfigure.sty is already
253 % installed on most LaTeX systems. The latest version and documentation can
254 % be obtained at:
255 % http://www.ctan.org/tex-archive/obsolete/macros/latex/contrib/subfigure/
256 % subfigure.sty has been superceeded by subfig.sty.
257
258
259
260 %\usepackage[caption=false]{caption}
261 %\usepackage[font=footnotesize]{subfig}
262 % subfig.sty, also written by Steven Douglas Cochran, is the modern
263 % replacement for subfigure.sty. However, subfig.sty requires and
264 % automatically loads Axel Sommerfeldt's caption.sty which will override
265 % IEEEtran.cls handling of captions and this will result in nonIEEE style
266 % figure/table captions. To prevent this problem, be sure and preload
267 % caption.sty with its "caption=false" package option. This is will preserve
268 % IEEEtran.cls handing of captions. Version 1.3 (2005/06/28) and later 
269 % (recommended due to many improvements over 1.2) of subfig.sty supports
270 % the caption=false option directly:
271 %\usepackage[caption=false,font=footnotesize]{subfig}
272 %
273 % The latest version and documentation can be obtained at:
274 % http://www.ctan.org/tex-archive/macros/latex/contrib/subfig/
275 % The latest version and documentation of caption.sty can be obtained at:
276 % http://www.ctan.org/tex-archive/macros/latex/contrib/caption/
277
278
279
280
281 % *** FLOAT PACKAGES ***
282 %
283 %\usepackage{fixltx2e}
284 % fixltx2e, the successor to the earlier fix2col.sty, was written by
285 % Frank Mittelbach and David Carlisle. This package corrects a few problems
286 % in the LaTeX2e kernel, the most notable of which is that in current
287 % LaTeX2e releases, the ordering of single and double column floats is not
288 % guaranteed to be preserved. Thus, an unpatched LaTeX2e can allow a
289 % single column figure to be placed prior to an earlier double column
290 % figure. The latest version and documentation can be found at:
291 % http://www.ctan.org/tex-archive/macros/latex/base/
292
293
294
295 %\usepackage{stfloats}
296 % stfloats.sty was written by Sigitas Tolusis. This package gives LaTeX2e
297 % the ability to do double column floats at the bottom of the page as well
298 % as the top. (e.g., "\begin{figure*}[!b]" is not normally possible in
299 % LaTeX2e). It also provides a command:
300 %\fnbelowfloat
301 % to enable the placement of footnotes below bottom floats (the standard
302 % LaTeX2e kernel puts them above bottom floats). This is an invasive package
303 % which rewrites many portions of the LaTeX2e float routines. It may not work
304 % with other packages that modify the LaTeX2e float routines. The latest
305 % version and documentation can be obtained at:
306 % http://www.ctan.org/tex-archive/macros/latex/contrib/sttools/
307 % Documentation is contained in the stfloats.sty comments as well as in the
308 % presfull.pdf file. Do not use the stfloats baselinefloat ability as IEEE
309 % does not allow \baselineskip to stretch. Authors submitting work to the
310 % IEEE should note that IEEE rarely uses double column equations and
311 % that authors should try to avoid such use. Do not be tempted to use the
312 % cuted.sty or midfloat.sty packages (also by Sigitas Tolusis) as IEEE does
313 % not format its papers in such ways.
314
315
316
317
318
319 % *** PDF, URL AND HYPERLINK PACKAGES ***
320 %
321 %\usepackage{url}
322 % url.sty was written by Donald Arseneau. It provides better support for
323 % handling and breaking URLs. url.sty is already installed on most LaTeX
324 % systems. The latest version can be obtained at:
325 % http://www.ctan.org/tex-archive/macros/latex/contrib/misc/
326 % Read the url.sty source comments for usage information. Basically,
327 % \url{my_url_here}.
328
329
330
331
332
333 % *** Do not adjust lengths that control margins, column widths, etc. ***
334 % *** Do not use packages that alter fonts (such as pslatex).         ***
335 % There should be no need to do such things with IEEEtran.cls V1.6 and later.
336 % (Unless specifically asked to do so by the journal or conference you plan
337 % to submit to, of course. )
338
339 % correct bad hyphenation here
340 \hyphenation{op-tical net-works semi-conduc-tor}
341
342 % Macro for certain acronyms in small caps. Doesn't work with the
343 % default font, though (it contains no smallcaps it seems).
344 \def\acro#1{{\small{#1}}}
345 \def\acrotiny#1{{\scriptsize{#1}}}
346 \def\VHDL{\acro{VHDL}}
347 \def\GHC{\acro{GHC}}
348 \def\CLaSH{{\small{C}}$\lambda$a{\small{SH}}}
349 \def\CLaSHtiny{{\scriptsize{C}}$\lambda$a{\scriptsize{SH}}}
350
351 % Macro for pretty printing haskell snippets. Just monospaced for now, perhaps
352 % we'll get something more complex later on.
353 \def\hs#1{\texttt{#1}}
354 \def\quote#1{``{#1}"}
355
356 \newenvironment{xlist}[1][\rule{0em}{0em}]{%
357   \begin{list}{}{%
358     \settowidth{\labelwidth}{#1:}
359     \setlength{\labelsep}{0.5em}
360     \setlength{\leftmargin}{\labelwidth}
361     \addtolength{\leftmargin}{\labelsep}
362     \addtolength{\leftmargin}{\parindent}
363     \setlength{\rightmargin}{0pt}
364     \setlength{\listparindent}{\parindent}
365     \setlength{\itemsep}{0 ex plus 0.2ex}
366     \renewcommand{\makelabel}[1]{##1:\hfil}
367     }
368   }
369 {\end{list}}
370
371 \usepackage{paralist}
372 \usepackage{xcolor}
373 \def\comment#1{{\color[rgb]{1.0,0.0,0.0}{#1}}}
374
375 \usepackage{cleveref}
376 \crefname{figure}{figure}{figures}
377 \newcommand{\fref}[1]{\cref{#1}} 
378 \newcommand{\Fref}[1]{\Cref{#1}}
379
380 \usepackage{epstopdf}
381
382 \epstopdfDeclareGraphicsRule{.svg}{pdf}{.pdf}{rsvg-convert --format=pdf < #1 > \noexpand\OutputFile}
383
384 %include polycode.fmt
385 %include clash.fmt
386
387 \begin{document}
388 %
389 % paper title
390 % can use linebreaks \\ within to get better formatting as desired
391 \title{C$\lambda$aSH: Structural Descriptions \\ of Synchronous Hardware using Haskell}
392
393
394 % author names and affiliations
395 % use a multiple column layout for up to three different
396 % affiliations
397 \author{\IEEEauthorblockN{Christiaan P.R. Baaij, Matthijs Kooijman, Jan Kuper, Marco E.T. Gerards}%, Bert Molenkamp, Sabih H. Gerez}
398 \IEEEauthorblockA{%Computer Architecture for Embedded Systems (CAES)\\ 
399 Department of EEMCS, University of Twente\\
400 P.O. Box 217, 7500 AE, Enschede, The Netherlands\\
401 c.p.r.baaij@@utwente.nl, matthijs@@stdin.nl, j.kuper@@utwente.nl}}
402 % \and
403 % \IEEEauthorblockN{Homer Simpson}
404 % \IEEEauthorblockA{Twentieth Century Fox\\
405 % Springfield, USA\\
406 % Email: homer@thesimpsons.com}
407 % \and
408 % \IEEEauthorblockN{James Kirk\\ and Montgomery Scott}
409 % \IEEEauthorblockA{Starfleet Academy\\
410 % San Francisco, California 96678-2391\\
411 % Telephone: (800) 555--1212\\
412 % Fax: (888) 555--1212}}
413
414 % conference papers do not typically use \thanks and this command
415 % is locked out in conference mode. If really needed, such as for
416 % the acknowledgment of grants, issue a \IEEEoverridecommandlockouts
417 % after \documentclass
418
419 % for over three affiliations, or if they all won't fit within the width
420 % of the page, use this alternative format:
421
422 %\author{\IEEEauthorblockN{Michael Shell\IEEEauthorrefmark{1},
423 %Homer Simpson\IEEEauthorrefmark{2},
424 %James Kirk\IEEEauthorrefmark{3}, 
425 %Montgomery Scott\IEEEauthorrefmark{3} and
426 %Eldon Tyrell\IEEEauthorrefmark{4}}
427 %\IEEEauthorblockA{\IEEEauthorrefmark{1}School of Electrical and Computer Engineering\\
428 %Georgia Institute of Technology,
429 %Atlanta, Georgia 30332--0250\\ Email: see http://www.michaelshell.org/contact.html}
430 %\IEEEauthorblockA{\IEEEauthorrefmark{2}Twentieth Century Fox, Springfield, USA\\
431 %Email: homer@thesimpsons.com}
432 %\IEEEauthorblockA{\IEEEauthorrefmark{3}Starfleet Academy, San Francisco, California 96678-2391\\
433 %Telephone: (800) 555--1212, Fax: (888) 555--1212}
434 %\IEEEauthorblockA{\IEEEauthorrefmark{4}Tyrell Inc., 123 Replicant Street, Los Angeles, California 90210--4321}}
435
436
437
438
439 % use for special paper notices
440 %\IEEEspecialpapernotice{(Invited Paper)}
441
442
443
444
445 % make the title area
446 \maketitle
447
448
449 \begin{abstract}
450 %\boldmath
451 \CLaSH\ is a functional hardware description language that borrows both its 
452 syntax and semantics from the functional programming language Haskell. Circuit 
453 descriptions can be translated to synthesizable VHDL using the prototype 
454 \CLaSH\ compiler. As the circuit descriptions are made in plain Haskell, 
455 simulations can also be compiled by a Haskell compiler.
456
457 The use of polymorphism and higher-order functions allow a circuit designer to 
458 describe more abstract and general specifications than are possible in the 
459 traditional hardware description languages.
460 \end{abstract}
461 % IEEEtran.cls defaults to using nonbold math in the Abstract.
462 % This preserves the distinction between vectors and scalars. However,
463 % if the conference you are submitting to favors bold math in the abstract,
464 % then you can use LaTeX's standard command \boldmath at the very start
465 % of the abstract to achieve this. Many IEEE journals/conferences frown on
466 % math in the abstract anyway.
467
468 % no keywords
469
470
471
472
473 % For peer review papers, you can put extra information on the cover
474 % page as needed:
475 % \ifCLASSOPTIONpeerreview
476 % \begin{center} \bfseries EDICS Category: 3-BBND \end{center}
477 % \fi
478 %
479 % For peerreview papers, this IEEEtran command inserts a page break and
480 % creates the second title. It will be ignored for other modes.
481 \IEEEpeerreviewmaketitle
482
483
484 \section{Introduction}
485 Hardware description languages have allowed the productivity of hardware 
486 engineers to keep pace with the development of chip technology. Standard 
487 Hardware description languages, like \VHDL~\cite{VHDL2008} and 
488 Verilog~\cite{Verilog}, allowed an engineer to describe circuits using a 
489 programming language. These standard languages are very good at describing 
490 detailed hardware properties such as timing behavior, but are generally 
491 cumbersome in expressing higher-level abstractions. In an attempt to raise the 
492 abstraction level of the descriptions, a great number of approaches based on 
493 functional languages has been proposed \cite{T-Ruby,Hydra,HML2,Hawk1,Lava,
494 ForSyDe1,Wired,reFLect}. The idea of using functional languages for hardware 
495 descriptions started in the early 1980s \cite{Cardelli1981, muFP,DAISY,FHDL}, 
496 a time which also saw the birth of the currently popular hardware description 
497 languages such as \VHDL. Functional languages are especially suited to
498 describe hardware because combinational circuits can be directly modeled
499 as mathematical functions and that functional languages are very good at
500 describing and composing mathematical functions.
501
502 In an attempt to decrease the amount of work involved in creating all the 
503 required tooling, such as parsers and type-checkers, many functional
504 hardware description languages \cite{Hydra,Hawk1,Lava,ForSyDe1,Wired}
505 are embedded as a domain specific language inside the functional
506 language Haskell \cite{Haskell}. This means that a developer is given a
507 library of Haskell functions and types that together form the language
508 primitives of the domain specific language. The primitive functions used
509 to describe a circuit do not actually process any signals, but instead
510 compose a large domain-specific datatype (which is usually hidden from
511 the designer).  This datatype is then further processed by an embedded
512 circuit compiler.  This circuit compiler actually runs in the same
513 environment as the description; as a result compile-time and run-time
514 become hard to define, as the embedded circuit compiler is usually
515 compiled by the same Haskell compiler as the circuit description itself.
516
517 The approach taken in this research is not to make another domain specific 
518 language embedded in Haskell, but to use (a subset of) the Haskell language 
519 itself for the purpose of describing hardware. By taking this approach, we can 
520 capture certain language constructs, such as Haskell's choice elements 
521 (if-expressions, case-expressions, pattern matching, etc.), which are not 
522 available in the functional hardware description languages that are embedded 
523 in Haskell as a domain specific language. As far as the authors know, such 
524 extensive support for choice-elements is new in the domain of functional 
525 hardware description languages. As the hardware descriptions are plain Haskell 
526 functions, these descriptions can be compiled to an executable binary
527 for simulation using an optimizing Haskell compiler such as the Glasgow
528 Haskell Compiler (\GHC)~\cite{ghc}.
529
530 Where descriptions in a conventional hardware description language have an 
531 explicit clock for the purpose state and synchronicity, the clock is implied 
532 in this research. A developer describes the behavior of the hardware between 
533 clock cycles. Many functional hardware description model signals as a stream 
534 of all values over time; state is then modeled as a delay on this stream of 
535 values. The approach taken in this research is to make the current state of a 
536 circuit part of the input of the function and the updated state part of the 
537 output. The current abstraction of state and time limits the descriptions to 
538 synchronous hardware, there however is room within the language to eventually 
539 add a different abstraction mechanism that will allow for the modeling of 
540 asynchronous systems.
541
542 Like the standard hardware description languages, descriptions made in a 
543 functional hardware description language must eventually be converted into a 
544 netlist. This research also features a prototype translator, which has the 
545 same name as the language: \CLaSH\footnote{\CLaSHtiny: \acrotiny{CAES} 
546 Language for Synchronous Hardware} (pronounced: clash). This compiler converts 
547 the Haskell code to equivalently behaving synthesizable \VHDL\ code, ready to 
548 be converted to an actual netlist format by an (optimizing) \VHDL\ synthesis 
549 tool.
550
551 Besides trivial circuits such as variants of both the \acro{FIR} filter and 
552 the simple \acro{CPU} shown in \Cref{sec:usecases}, the \CLaSH\ compiler has 
553 also been shown to work for non-trivial descriptions. \CLaSH\ has been able to 
554 successfully translate the functional description of a streaming reduction 
555 circuit~\cite{reductioncircuit} for floating point numbers.
556
557 \section{Hardware description in Haskell}
558
559   \subsection{Function application}
560     The basic syntactic elements of a functional program are functions
561     and function application. These have a single obvious translation to a 
562     netlist format: 
563     \begin{inparaenum}
564       \item every function is translated to a component, 
565       \item every function argument is translated to an input port,
566       \item the result value of a function is translated to an output port, 
567             and
568       \item function applications are translated to component instantiations.
569     \end{inparaenum} 
570     The output port can have a structured type (such as a tuple), so having 
571     just a single output port does not pose any limitation. The actual 
572     arguments of a function application are assigned to signals, which are 
573     then mapped to the corresponding input ports of the component. The output 
574     port of the function is also mapped to a signal, which is used as the 
575     result of the application itself.
576
577     Since every top level function generates its own component, the
578     hierarchy of function calls is reflected in the final netlist,% aswell, 
579     creating a hierarchical description of the hardware. The separation in 
580     different components makes it easier for a developer to understand and 
581     possibly hand-optimize the resulting \VHDL\ output of the \CLaSH\ 
582     compiler.
583
584     As an example we can see the netlist of the |mac| function in
585     \Cref{img:mac-comb}; the |mac| function applies both the |mul| and |add|
586     function to calculate $a * b + c$:
587     
588     \begin{code}
589     mac a b c = add (mul a b) c
590     \end{code}
591     
592     \begin{figure}
593     \centerline{\includegraphics{mac.svg}}
594     \caption{Combinatorial Multiply-Accumulate}
595     \label{img:mac-comb}
596     \end{figure}
597     
598     The result of using a structural input type can be seen in 
599     \cref{img:mac-comb-nocurry} where the |mac| function now uses a single
600     input tuple for the |a|, |b|, and |c| arguments:
601     
602     \begin{code}
603     mac (a, b, c) = add (mul a b) c
604     \end{code}
605     
606     \begin{figure}
607     \centerline{\includegraphics{mac-nocurry.svg}}
608     \caption{Combinatorial Multiply-Accumulate (complex input)}
609     \label{img:mac-comb-nocurry}
610     \end{figure}
611
612   \subsection{Choice}
613     In Haskell, choice can be achieved by a large set of syntactic elements, 
614     consisting of: \hs{case} expressions, \hs{if-then-else} expressions, 
615     pattern matching, and guards. The most general of these are the \hs{case} 
616     expressions (\hs{if} expressions can be very directly translated to 
617     \hs{case} expressions). A \hs{case} expression is translated to a 
618     multiplexer, where the control value is fed into a number of
619     comparators and their output is used to compose the selection port
620     of the multiplexer. The result of each alternative is linked to the
621     corresponding input port on the multiplexer.
622     % A \hs{case} expression can in turn simply be translated to a conditional 
623     % assignment in \VHDL, where the conditions use equality comparisons 
624     % against the constructors in the \hs{case} expressions. 
625     We can see two versions of a contrived example below, the first 
626     using a \hs{case} expression and the other using an \hs{if-then-else} 
627     expression. Both examples sums two values when they are 
628     equal or non-equal (depending on the given predicate, the \hs{pred} 
629     variable) and returns 0 otherwise. The \hs{pred} variable has the 
630     following, user-defined, enumeration datatype:
631     
632     \begin{code}
633     data Pred = Equal | NotEqual
634     \end{code}
635
636     The naive netlist corresponding to both versions of the example is 
637     depicted in \Cref{img:choice}.
638
639     \begin{code}    
640     sumif pred a b = case pred of
641       Equal -> case a == b of
642         True      -> a + b
643         False     -> 0
644       NotEqual  -> case a != b of
645         True      -> a + b
646         False     -> 0
647     \end{code}
648
649     \begin{code}
650     sumif pred a b = 
651       if pred == Equal then 
652         if a == b then a + b else 0
653       else 
654         if a != b then a + b else 0
655     \end{code}
656
657     \begin{figure}
658     \centerline{\includegraphics{choice-case.svg}}
659     \caption{Choice - sumif}
660     \label{img:choice}
661     \end{figure}
662
663     A user-friendly and also very powerful form of choice is pattern 
664     matching. A function can be defined in multiple clauses, where each clause 
665     corresponds to a pattern. When an argument matches a pattern, the 
666     corresponding clause will be used. Expressions can also contain guards, 
667     where the expression is only executed if the guard evaluates to true, and 
668     continues with the next clause if the guard evaluates to false. Like 
669     \hs{if-then-else} expressions, pattern matching and guards have a 
670     (straightforward) translation to \hs{case} expressions and can as such be 
671     mapped to multiplexers. A third version of the earlier example, using both 
672     pattern matching and guards, can be seen below. The guard is the 
673     expression that follows the vertical bar (\hs{|}) and precedes the 
674     assignment operator (\hs{=}). The \hs{otherwise} guards always evaluate to 
675     \hs{true}.
676     
677     The version using pattern matching and guards corresponds to the same 
678     naive netlist representation (\Cref{img:choice}) as the earlier two 
679     versions of the example.
680     
681     \begin{code}
682     sumif Equal     a b   | a == b      = a + b
683                           | otherwise   = 0
684     sumif NotEqual  a b   | a != b      = a + b
685                           | otherwise   = 0
686     \end{code}
687
688     % \begin{figure}
689     % \centerline{\includegraphics{choice-ifthenelse}}
690     % \caption{Choice - \emph{if-then-else}}
691     % \label{img:choice}
692     % \end{figure}
693
694   \subsection{Types}
695     Haskell is a statically-typed language, meaning that the type of a 
696     variable or function is determined at compile-time. Not all of Haskell's 
697     typing constructs have a clear translation to hardware, this section will 
698     therefore only deal with the types that do have a clear correspondence 
699     to hardware. The translatable types are divided into two categories: 
700     \emph{built-in} types and \emph{user-defined} types. Built-in types are 
701     those types for which a fixed translation is defined within the \CLaSH\ 
702     compiler. The \CLaSH\ compiler has generic translation rules to
703     translated the user-defined types described below.
704
705     The \CLaSH\ compiler is able to infer unspecified types,
706     meaning that a developer does not have to annotate every function with a 
707     type signature (even if it is good practice to do so).
708   
709     % Translation of two most basic functional concepts has been
710     % discussed: function application and choice. Before looking further
711     % into less obvious concepts like higher-order expressions and
712     % polymorphism, the possible types that can be used in hardware
713     % descriptions will be discussed.
714     % 
715     % Some way is needed to translate every value used to its hardware
716     % equivalents. In particular, this means a hardware equivalent for
717     % every \emph{type} used in a hardware description is needed.
718     % 
719     % The following types are \emph{built-in}, meaning that their hardware
720     % translation is fixed into the \CLaSH\ compiler. A designer can also
721     % define his own types, which will be translated into hardware types
722     % using translation rules that are discussed later on.
723
724   \subsubsection{Built-in types}
725     The following types have fixed translations defined within the \CLaSH\
726     compiler:
727     \begin{xlist}
728       \item[\bf{Bit}]
729         the most basic type available. It can have two values:
730         \hs{Low} or \hs{High}. 
731         % It is mapped directly onto the \texttt{std\_logic} \VHDL\ type. 
732       \item[\bf{Bool}]
733         this is a basic logic type. It can have two values: \hs{True}
734         or \hs{False}. 
735         % It is translated to \texttt{std\_logic} exactly like the \hs{Bit} 
736         % type (where a value of \hs{True} corresponds to a value of 
737         % \hs{High}). 
738         Supporting the Bool type is required in order to support the
739         \hs{if-then-else} expression, which requires a \hs{Bool} value for 
740         the condition.
741       \item[\bf{SizedWord}, \bf{SizedInt}]
742         these are types to represent integers. A \hs{SizedWord} is unsigned,
743         while a \hs{SizedInt} is signed. Both are parametrizable in their 
744         size. 
745         % , so you can define an unsigned word of 32 bits wide as follows:
746
747         % \begin{code}
748         % type Word32 = SizedWord D32
749         % \end{code}
750
751         % Here, a type synonym \hs{Word32} is defined that is equal to the
752         % \hs{SizedWord} type constructor applied to the type \hs{D32}. 
753         % \hs{D32} is the \emph{type level representation} of the decimal 
754         % number 32, making the \hs{Word32} type a 32-bit unsigned word. These 
755         % types are translated to the \VHDL\ \texttt{unsigned} and 
756         % \texttt{signed} respectively.
757       \item[\bf{Vector}]
758         this is a vector type that can contain elements of any other type and
759         has a fixed length. The \hs{Vector} type constructor takes two type 
760         arguments: the length of the vector and the type of the elements 
761         contained in it. The short-hand notation used for the vector type in  
762         the rest of paper is: \hs{[a|n]}. Where the \hs{a} is the element 
763         type, and \hs{n} is the length of the vector. Note that this is
764         a notation used in this paper only, vectors are slightly more
765         verbose in real \CLaSH\ descriptions.
766         % The state type of an 8 element register bank would then for example 
767         % be:
768
769         % \begin{code}
770         % type RegisterState = Vector D8 Word32
771         % \end{code}
772
773         % Here, a type synonym \hs{RegisterState} is defined that is equal to
774         % the \hs{Vector} type constructor applied to the types \hs{D8} (The 
775         % type level representation of the decimal number 8) and \hs{Word32} 
776         % (The 32 bit word type as defined above). In other words, the 
777         % \hs{RegisterState} type is a vector of 8 32-bit words. A fixed size 
778         % vector is translated to a \VHDL\ array type.
779       \item[\bf{Index}]
780         this is another type to describe integers, but unlike the previous
781         two it has no specific bit-width, but an upper bound. This means that
782         its range is not limited to powers of two, but can be any number.
783         An \hs{Index} only has an upper bound, its lower bound is
784         implicitly zero. The main purpose of the \hs{Index} type is to be 
785         used as an index to a \hs{Vector}.
786
787         % \comment{TODO: Perhaps remove this example?} To define an index for 
788         % the 8 element vector above, we would do:
789
790         % \begin{code}
791         % type RegisterIndex = RangedWord D7
792         % \end{code}
793
794         % Here, a type synonym \hs{RegisterIndex} is defined that is equal to
795         % the \hs{RangedWord} type constructor applied to the type \hs{D7}. In
796         % other words, this defines an unsigned word with values from
797         % 0 to 7 (inclusive). This word can be be used to index the
798         % 8 element vector \hs{RegisterState} above. This type is translated 
799         % to the \texttt{unsigned} \VHDL type.
800     \end{xlist}
801
802   \subsubsection{User-defined types}
803     There are three ways to define new types in Haskell: algebraic
804     data-types with the \hs{data} keyword, type synonyms with the \hs{type}
805     keyword and datatype renaming constructs with the \hs{newtype} keyword. 
806     \GHC\ offers a few more advanced ways to introduce types (type families,
807     existential typing, {\acro{GADT}}s, etc.) which are not standard Haskell. 
808     As it is currently unclear how these advanced type constructs correspond 
809     to hardware, they are for now unsupported by the \CLaSH\ compiler.
810
811     Only an algebraic datatype declaration actually introduces a
812     completely new type. Type synonyms and type renaming only define new 
813     names for existing types, where synonyms are completely interchangeable 
814     and type renaming requires explicit conversions. Therefore, these do not 
815     need any particular translation, a synonym or renamed type will just use 
816     the same representation as the original type. For algebraic types, we can 
817     make the following distinctions: 
818
819     \begin{xlist}
820       \item[\bf{Single constructor}]
821         Algebraic datatypes with a single constructor with one or more
822         fields, are essentially a way to pack a few values together in a
823         record-like structure. Haskell's built-in tuple types are also defined 
824         as single constructor algebraic types (but with a bit of
825         syntactic sugar). An example of a single constructor type is the
826         following pair of integers:
827         \begin{code}
828         data IntPair = IntPair Int Int
829         \end{code}
830         % These types are translated to \VHDL\ record types, with one field 
831         % for every field in the constructor.
832       \item[\bf{No fields}]
833         Algebraic datatypes with multiple constructors, but without any
834         fields are essentially a way to get an enumeration-like type
835         containing alternatives. Note that Haskell's \hs{Bool} type is also 
836         defined as an enumeration type, but that there is a fixed translation 
837         for that type within the \CLaSH\ compiler. An example of such an 
838         enumeration type is the type that represents the colors in a traffic 
839         light:
840         \begin{code}
841         data TrafficLight = Red | Orange | Green
842         \end{code}
843         % These types are translated to \VHDL\ enumerations, with one 
844         % value for each constructor. This allows references to these 
845         % constructors to be translated to the corresponding enumeration 
846         % value.
847       \item[\bf{Multiple constructors with fields}]
848         Algebraic datatypes with multiple constructors, where at least
849         one of these constructors has one or more fields are currently not 
850         supported.
851     \end{xlist}
852
853   \subsection{Polymorphism}
854     A powerful feature of most (functional) programming languages is 
855     polymorphism, it allows a function to handle values of different data 
856     types in a uniform way. Haskell supports \emph{parametric 
857     polymorphism}~\cite{polymorphism}, meaning functions can be written 
858     without mention of any specific type and can be used transparently with 
859     any number of new types.
860
861     As an example of a parametric polymorphic function, consider the type of 
862     the following \hs{append} function, which appends an element to a
863     vector:\footnote{The \hs{::} operator is used to annotate a function
864     with its type.}
865     
866     \begin{code}
867     append :: [a|n] -> a -> [a|n + 1]
868     \end{code}
869
870     This type is parameterized by \hs{a}, which can contain any type at
871     all. This means that \hs{append} can append an element to a vector,
872     regardless of the type of the elements in the list (as long as the type of 
873     the value to be added is of the same type as the values in the vector). 
874     This kind of polymorphism is extremely useful in hardware designs to make 
875     operations work on a vector without knowing exactly what elements are 
876     inside, routing signals without knowing exactly what kinds of signals 
877     these are, or working with a vector without knowing exactly how long it 
878     is. Polymorphism also plays an important role in most higher order 
879     functions, as we will see in the next section.
880
881     Another type of polymorphism is \emph{ad-hoc 
882     polymorphism}~\cite{polymorphism}, which refers to polymorphic 
883     functions which can be applied to arguments of different types, but which 
884     behave differently depending on the type of the argument to which they are 
885     applied. In Haskell, ad-hoc polymorphism is achieved through the use of 
886     type classes, where a class definition provides the general interface of a 
887     function, and class instances define the functionality for the specific 
888     types. An example of such a type class is the \hs{Num} class, which 
889     contains all of Haskell's numerical operations. A designer can make use 
890     of this ad-hoc polymorphism by adding a constraint to a parametrically 
891     polymorphic type variable. Such a constraint indicates that the type 
892     variable can only be instantiated to a type whose members supports the 
893     overloaded functions associated with the type class. 
894     
895     As an example we will take a look at type signature of the function 
896     \hs{sum}, which sums the values in a vector:
897     \begin{code}
898     sum :: Num a => [a|n] -> a
899     \end{code}
900
901     This type is again parameterized by \hs{a}, but it can only contain
902     types that are \emph{instances} of the \emph{type class} \hs{Num}, so that  
903     we know that the addition (+) operator is defined for that type. 
904     \CLaSH's built-in numerical types are also instances of the \hs{Num}
905     class, so we can use the addition operator (and thus the \hs{sum}
906     function) with \hs{SizedWords} as well as with \hs{SizedInts}.
907
908     In \CLaSH, parametric polymorphism is completely supported. Any function 
909     defined can have any number of unconstrained type parameters. The \CLaSH\ 
910     compiler will infer the type of every such argument depending on how the 
911     function is applied. There is however one constraint: the top level 
912     function that is being translated can not have any polymorphic arguments. 
913     The arguments can not be polymorphic as the function is never applied and 
914     consequently there is no way to determine the actual types for the type 
915     parameters.
916
917     \CLaSH\ does not support user-defined type classes, but does use some
918     of the standard Haskell type classes for its built-in function, such as: 
919     \hs{Num} for numerical operations, \hs{Eq} for the equality operators, and
920     \hs{Ord} for the comparison/order operators.
921
922   \subsection{Higher-order functions \& values}
923     Another powerful abstraction mechanism in functional languages, is
924     the concept of \emph{higher-order functions}, or \emph{functions as
925     a first class value}. This allows a function to be treated as a
926     value and be passed around, even as the argument of another
927     function. The following example should clarify this concept:
928     
929     \begin{code}
930     negateVector xs = map not xs
931     \end{code}
932
933     The code above defines the \hs{negateVector} function, which takes a 
934     vector of booleans, \hs{xs}, and returns a vector where all the values are 
935     negated. It achieves this by calling the \hs{map} function, and passing it 
936     \emph{another function}, boolean negation, and the vector of booleans, 
937     \hs{xs}. The \hs{map} function applies the negation function to all the 
938     elements in the vector.
939
940     The \hs{map} function is called a higher-order function, since it takes 
941     another function as an argument. Also note that \hs{map} is again a 
942     parametric polymorphic function: it does not pose any constraints on the 
943     type of the input vector, other than that its elements must have the same 
944     type as the first argument of the function passed to \hs{map}. The element 
945     type of the resulting vector is equal to the return type of the function 
946     passed, which need not necessarily be the same as the element type of the 
947     input vector. All of these characteristics  can readily be inferred from 
948     the type signature belonging to \hs{map}:
949
950     \begin{code}
951     map :: (a -> b) -> [a|n] -> [b|n]
952     \end{code}
953
954     So far, only functions have been used as higher-order values. In
955     Haskell, there are two more ways to obtain a function-typed value:
956     partial application and lambda abstraction. Partial application
957     means that a function that takes multiple arguments can be applied
958     to a single argument, and the result will again be a function (but
959     that takes one argument less). As an example, consider the following
960     expression, that adds one to every element of a vector:
961
962     \begin{code}
963     map (+ 1) xs
964     \end{code}
965
966     Here, the expression \hs{(+ 1)} is the partial application of the
967     plus operator to the value \hs{1}, which is again a function that
968     adds one to its (next) argument. A lambda expression allows one to 
969     introduce an anonymous function in any expression. Consider the following 
970     expression, which again adds one to every element of a vector:
971
972     \begin{code}
973     map (\x -> x + 1) xs
974     \end{code}
975
976     Finally, not only built-in functions can have higher order
977     arguments, but any function defined in \CLaSH can have function
978     arguments. This allows the hardware designer to use a powerful
979     abstraction mechanism in his designs and have an optimal amount of
980     code reuse. The only exception is again the top-level function: if a 
981     function-typed argument is not applied with an actual function, no 
982     hardware can be generated.    
983
984     % \comment{TODO: Describe ALU example (no code)}
985
986   \subsection{State}
987     A very important concept in hardware is the concept of state. In a 
988     stateful design, the outputs depend on the history of the inputs, or the 
989     state. State is usually stored in registers, which retain their value 
990     during a clock cycle. As we want to describe more than simple 
991     combinational designs, \CLaSH\ needs an abstraction mechanism for state.
992
993     An important property in Haskell, and in most other functional languages, 
994     is \emph{purity}. A function is said to be \emph{pure} if it satisfies two
995     conditions:
996     \begin{inparaenum}
997       \item given the same arguments twice, it should return the same value in 
998       both cases, and
999       \item when the function is called, it should not have observable 
1000       side-effects.
1001     \end{inparaenum}
1002     % This purity property is important for functional languages, since it 
1003     % enables all kinds of mathematical reasoning that could not be guaranteed 
1004     % correct for impure functions. 
1005     Pure functions are as such a perfect match for combinational circuits, 
1006     where the output solely depends on the inputs. When a circuit has state 
1007     however, it can no longer be simply described by a pure function. 
1008     % Simply removing the purity property is not a valid option, as the 
1009     % language would then lose many of it mathematical properties. 
1010     In \CLaSH\ we deal with the concept of state in pure functions by making 
1011     current value of the state an additional argument of the function and the 
1012     updated state part of result. In this sense the descriptions made in 
1013     \CLaSH\ are the combinational parts of a mealy machine.
1014     
1015     A simple example is adding an accumulator register to the earlier 
1016     multiply-accumulate circuit, of which the resulting netlist can be seen in 
1017     \Cref{img:mac-state}:
1018     
1019     \begin{code}
1020     macS (State c) a b = (State c', c')
1021       where
1022         c' = mac a b c
1023     \end{code}
1024     
1025     \begin{figure}
1026     \centerline{\includegraphics{mac-state.svg}}
1027     \caption{Stateful Multiply-Accumulate}
1028     \label{img:mac-state}
1029     \end{figure}
1030     
1031     The \hs{State} keyword indicates which arguments are part of the current 
1032     state, and what part of the output is part of the updated state. This 
1033     aspect will also be reflected in the type signature of the function. 
1034     Abstracting the state of a circuit in this way makes it very explicit: 
1035     which variables are part of the state is completely determined by the 
1036     type signature. This approach to state is well suited to be used in 
1037     combination with the existing code and language features, such as all the 
1038     choice elements, as state values are just normal values. We can simulate 
1039     stateful descriptions using the recursive \hs{run} function:
1040     
1041     \begin{code}
1042     run f s (i : inps) = o : (run f s' inps)
1043       where
1044         (s', o) = f s i
1045     \end{code}
1046     
1047     The \hs{(:)} operator is the list concatenation operator, where the 
1048     left-hand side is the head of a list and the right-hand side is the 
1049     remainder of the list. The \hs{run} function applies the function the 
1050     developer wants to simulate, \hs{f}, to the current state, \hs{s}, and the 
1051     first input value, \hs{i}. The result is the first output value, \hs{o}, 
1052     and the updated state \hs{s'}. The next iteration of the \hs{run} function 
1053     is then called with the updated state, \hs{s'}, and the rest of the 
1054     inputs, \hs{inps}. It is assumed that there is one input per clock cycle.
1055     Also note how the order of the input, output, and state in the \hs{run} 
1056     function corresponds with the order of the input, output and state of the 
1057     \hs{macS} function described earlier.
1058     
1059     As both the \hs{run} function, the hardware description, and the test 
1060     inputs are plain Haskell, the complete simulation can be compiled to an 
1061     executable binary by an optimizing Haskell compiler, or executed in an 
1062     Haskell interpreter. Both simulation paths are much faster than first 
1063     translating the description to \VHDL\ and then running a \VHDL\ 
1064     simulation, where the executable binary has an additional simulation speed 
1065     bonus in case there is a large set of test inputs.
1066     
1067 \section{\CLaSH\ compiler}
1068 An important aspect in this research is the creation of the prototype 
1069 compiler, which allows us to translate descriptions made in the \CLaSH\ 
1070 language as described in the previous section to synthesizable \VHDL, allowing 
1071 a designer to actually run a \CLaSH\ design on an \acro{FPGA}.
1072
1073 The Glasgow Haskell Compiler (\GHC) is an open-source Haskell compiler that 
1074 also provides a high level API to most of its internals. The availability of 
1075 this high-level API obviated the need to design many of the tedious parts of 
1076 the prototype compiler, such as the parser, semantic checker, and especially 
1077 the type-checker. The parser, semantic checker, and type-checker together form 
1078 the front-end of the prototype compiler pipeline, as depicted in 
1079 \Cref{img:compilerpipeline}.
1080
1081 \begin{figure}
1082 \centerline{\includegraphics{compilerpipeline.svg}}
1083 \caption{\CLaSHtiny\ compiler pipeline}
1084 \label{img:compilerpipeline}
1085 \end{figure}
1086
1087 The output of the \GHC\ front-end is the original Haskell description 
1088 translated to \emph{Core}~\cite{Sulzmann2007}, which is smaller, typed, 
1089 functional language that is relatively easier to process than the larger 
1090 Haskell language. A description in \emph{Core} can still contain properties 
1091 which have no direct translation to hardware, such as polymorphic types and 
1092 function-valued arguments. Such a description needs to be transformed to a 
1093 \emph{normal form}, which only contains properties that have a direct 
1094 translation. The second stage of the compiler, the \emph{normalization} phase, 
1095 exhaustively applies a set of \emph{meaning-preserving} transformations on the 
1096 \emph{Core} description until this description is in a \emph{normal form}. 
1097 This set of transformations includes transformations typically found in 
1098 reduction systems for lambda calculus~\cite{lambdacalculus}, such a 
1099 $\beta$-reduction and $\eta$-expansion, but also includes self-defined 
1100 transformations that are responsible for the reduction of higher-order 
1101 functions to `regular' first-order functions.
1102
1103 The final step in the compiler pipeline is the translation to a \VHDL\ 
1104 \emph{netlist}, which is a straightforward process due to resemblance of a 
1105 normalized description and a set of concurrent signal assignments. We call the 
1106 end-product of the \CLaSH\ compiler a \VHDL\ \emph{netlist} as the resulting 
1107 \VHDL\ resembles an actual netlist description and not idiomatic \VHDL.
1108
1109 \section{Use cases}
1110 \label{sec:usecases}
1111 \subsection{FIR Filter}
1112 As an example of a common hardware design where the use of higher-order
1113 functions leads to a very natural description is a \acro{FIR} filter, which is 
1114 basically the dot-product of two vectors:
1115
1116 \begin{equation}
1117 y_t  = \sum\nolimits_{i = 0}^{n - 1} {x_{t - i}  \cdot h_i } 
1118 \end{equation}
1119
1120 A \acro{FIR} filter multiplies fixed constants ($h$) with the current 
1121 and a few previous input samples ($x$). Each of these multiplications
1122 are summed, to produce the result at time $t$. The equation of a \acro{FIR} 
1123 filter is indeed equivalent to the equation of the dot-product, which is 
1124 shown below:
1125
1126 \begin{equation}
1127 \mathbf{a}\bullet\mathbf{b} = \sum\nolimits_{i = 0}^{n - 1} {a_i \cdot b_i } 
1128 \end{equation}
1129
1130 We can easily and directly implement the equation for the dot-product
1131 using higher-order functions:
1132
1133 \begin{code}
1134 as *+* bs = foldl1 (+) (zipWith (*) as bs)
1135 \end{code}
1136
1137 The \hs{zipWith} function is very similar to the \hs{map} function seen 
1138 earlier: It takes a function, two vectors, and then applies the function to 
1139 each of the elements in the two vectors pairwise (\emph{e.g.}, \hs{zipWith (*) 
1140 [1, 2] [3, 4]} becomes \hs{[1 * 3, 2 * 4]}).
1141
1142 The \hs{foldl1} function takes a binary function, a single vector, and applies 
1143 the function to the first two elements of the vector. It then applies the
1144 function to the result of the first application and the next element in the 
1145 vector. This continues until the end of the vector is reached. The result of 
1146 the \hs{foldl1} function is the result of the last application. It is obvious 
1147 that the \hs{zipWith (*)} function is pairwise multiplication and that the 
1148 \hs{foldl1 (+)} function is summation.
1149
1150 Returning to the actual \acro{FIR} filter, we will slightly change the 
1151 equation describing it, so as to make the translation to code more obvious and 
1152 concise. What we do is change the definition of the vector of input samples 
1153 and delay the computation by one sample. Instead of having the input sample 
1154 received at time $t$ stored in $x_t$, $x_0$ now always stores the newest 
1155 sample, and $x_i$ stores the $ith$ previous sample. This changes the equation 
1156 to the following (note that this is completely equivalent to the original 
1157 equation, just with a different definition of $x$ that will better suit the 
1158 transformation to code):
1159
1160 \begin{equation}
1161 y_t  = \sum\nolimits_{i = 0}^{n - 1} {x_i  \cdot h_i } 
1162 \end{equation}
1163
1164 The complete definition of the \acro{FIR} filter in code then becomes:
1165
1166 \begin{code}
1167 fir (State (xs,hs)) x = (State (x >> xs,hs), xs *+* hs)
1168 \end{code}
1169
1170 Where the vector \hs{hs} contains the \acro{FIR} coefficients and the vector 
1171 \hs{xs} contains the previous input sample in front and older samples behind. 
1172 The code for the shift (\hs{>>}) operator, that adds the new input sample 
1173 (\hs{x}) to the list of previous input samples (\hs{xs}) and removes the 
1174 oldest sample, is shown below:
1175
1176 \begin{code}
1177 x >> xs = x +> init xs  
1178 \end{code}
1179
1180 The \hs{init} function returns all but the last element of a vector, and the 
1181 concatenate operator (\hs{+>}) adds a new element to the front of a vector. 
1182 The resulting netlist of a 4-taps \acro{FIR} filter, created by specializing 
1183 the vectors of the \acro{FIR} code to a length of 4, is depicted in 
1184 \Cref{img:4tapfir}.
1185
1186 \begin{figure}
1187 \centerline{\includegraphics{4tapfir.svg}}
1188 \caption{4-taps \acrotiny{FIR} Filter}
1189 \label{img:4tapfir}
1190 \end{figure}
1191
1192 \subsection{Higher order CPU}
1193
1194 \begin{code}
1195 fu op inputs (addr1, addr2) = regIn
1196   where
1197     in1     = inputs!addr1
1198     in2     = inputs!addr2
1199     regIn   = op in1 in2
1200 \end{code}
1201
1202 \begin{code}
1203 cpu :: Word -> [(Index 6, Index 6) | 4] 
1204   -> State [Word | 4] -> (State [Word | 4], Word)
1205 cpu input addrs (State fuss) = (State fuss', out)
1206   where
1207     fuss' =   [ fu const  inputs (addrs!0) (fuss!0)
1208               , fu (+)    inputs (addrs!1) (fuss!1)
1209               , fu (-)    inputs (addrs!2) (fuss!2)
1210               , fu (*)    inputs (addrs!3) (fuss!3)
1211               ]
1212     inputs    = 0 +> (1 +> (input +> fuss))
1213     out       = head fuss
1214 \end{code}
1215
1216 \section{Related work}
1217 This section describes the features of existing (functional) hardware 
1218 description languages and highlights the advantages that this research has 
1219 over existing work.
1220
1221 Many functional hardware description languages have been developed over the 
1222 years. Early work includes such languages as $\mu$\acro{FP}~\cite{muFP}, an 
1223 extension of Backus' \acro{FP} language to synchronous streams, designed 
1224 particularly for describing and reasoning about regular circuits. The 
1225 Ruby~\cite{Ruby} language uses relations, instead of functions, to describe 
1226 circuits, and has a particular focus on layout. 
1227
1228 \acro{HML}~\cite{HML2} is a hardware modeling language based on the strict 
1229 functional language \acro{ML}, and has support for polymorphic types and 
1230 higher-order functions. Published work suggests that there is no direct 
1231 simulation support for \acro{HML}, but that a description in \acro{HML} has to 
1232 be translated to \VHDL\ and that the translated description can then be 
1233 simulated in a \VHDL\ simulator. Also not all of the mentioned language 
1234 features of \acro{HML} could be translated to hardware. The \CLaSH\ compiler 
1235 on the other hand can correctly translate all of the language constructs 
1236 mentioned in this paper to a netlist format.
1237
1238 Like this work, many functional hardware description languages have some sort 
1239 of foundation in the functional programming language Haskell. 
1240 Hawk~\cite{Hawk1} uses Haskell to describe system-level executable 
1241 specifications used to model the behavior of superscalar microprocessors. Hawk 
1242 specifications can be simulated, but there seems to be no support for 
1243 automated circuit synthesis. 
1244
1245 The ForSyDe~\cite{ForSyDe2} system uses Haskell to specify abstract system 
1246 models, which can (manually) be transformed into an implementation model using 
1247 semantic preserving transformations. A designer can model systems using 
1248 heterogeneous models of computation, which include continuous time, 
1249 synchronous and untimed models of computation. Using so-called domain 
1250 interfaces a designer can simulate electronic systems which have both analog 
1251 as digital parts. ForSyDe has several backends including simulation and 
1252 automated synthesis, though automated synthesis is restricted to the 
1253 synchronous model of computation within ForSyDe. Unlike \CLaSH\ there is no 
1254 support for the automated synthesis of descriptions that contain polymorphism 
1255 or higher-order functions.
1256
1257 Lava~\cite{Lava} is a hardware description language that focuses on the 
1258 structural representation of hardware. Besides support for simulation and 
1259 circuit synthesis, Lava descriptions can be interfaced with formal method 
1260 tools for formal verification. Lava descriptions are actually circuit 
1261 generators when viewed from a synthesis viewpoint, in that the language 
1262 elements of Haskell, such as choice, can be used to guide the circuit 
1263 generation. If a developer wants to insert a choice element inside an actual 
1264 circuit he will have to explicitly instantiate a multiplexer-like component. 
1265
1266 In this respect \CLaSH\ differs from Lava, in that all the choice elements, 
1267 such as case-statements and pattern matching, are synthesized to choice 
1268 elements in the eventual circuit. As such, richer control structures can both 
1269 be specified and synthesized in \CLaSH\ compared to any of the languages 
1270 mentioned in this section.
1271
1272 The merits of polymorphic typing, combined with higher-order functions, are 
1273 now also recognized in the `main-stream' hardware description languages, 
1274 exemplified by the new \VHDL-2008 standard~\cite{VHDL2008}. \VHDL-2008 support 
1275 for generics has been extended to types and subprograms, allowing a developer to describe components with polymorphic ports and function-valued arguments. Note that the types and subprograms still require an explicit generic map, whereas types can be automatically inferred, and function-values can be automatically propagated by the \CLaSH\ compiler. There are also no (generally available) \VHDL\ synthesis tools that currently support the \VHDL-2008 standard, and thus the synthesis of polymorphic types and function-valued arguments.
1276
1277 % Wired~\cite{Wired},, T-Ruby~\cite{T-Ruby}, Hydra~\cite{Hydra}. 
1278
1279 % A functional language designed specifically for hardware design is 
1280 % $re{\mathit{FL}}^{ect}$~\cite{reFLect}, which draws experience from earlier 
1281 % language called \acro{FL}~\cite{FL} to la
1282
1283 % An example of a floating figure using the graphicx package.
1284 % Note that \label must occur AFTER (or within) \caption.
1285 % For figures, \caption should occur after the \includegraphics.
1286 % Note that IEEEtran v1.7 and later has special internal code that
1287 % is designed to preserve the operation of \label within \caption
1288 % even when the captionsoff option is in effect. However, because
1289 % of issues like this, it may be the safest practice to put all your
1290 % \label just after \caption rather than within \caption{}.
1291 %
1292 % Reminder: the "draftcls" or "draftclsnofoot", not "draft", class
1293 % option should be used if it is desired that the figures are to be
1294 % displayed while in draft mode.
1295 %
1296 %\begin{figure}[!t]
1297 %\centering
1298 %\includegraphics[width=2.5in]{myfigure}
1299 % where an .eps filename suffix will be assumed under latex, 
1300 % and a .pdf suffix will be assumed for pdflatex; or what has been declared
1301 % via \DeclareGraphicsExtensions.
1302 %\caption{Simulation Results}
1303 %\label{fig_sim}
1304 %\end{figure}
1305
1306 % Note that IEEE typically puts floats only at the top, even when this
1307 % results in a large percentage of a column being occupied by floats.
1308
1309
1310 % An example of a double column floating figure using two subfigures.
1311 % (The subfig.sty package must be loaded for this to work.)
1312 % The subfigure \label commands are set within each subfloat command, the
1313 % \label for the overall figure must come after \caption.
1314 % \hfil must be used as a separator to get equal spacing.
1315 % The subfigure.sty package works much the same way, except \subfigure is
1316 % used instead of \subfloat.
1317 %
1318 %\begin{figure*}[!t]
1319 %\centerline{\subfloat[Case I]\includegraphics[width=2.5in]{subfigcase1}%
1320 %\label{fig_first_case}}
1321 %\hfil
1322 %\subfloat[Case II]{\includegraphics[width=2.5in]{subfigcase2}%
1323 %\label{fig_second_case}}}
1324 %\caption{Simulation results}
1325 %\label{fig_sim}
1326 %\end{figure*}
1327 %
1328 % Note that often IEEE papers with subfigures do not employ subfigure
1329 % captions (using the optional argument to \subfloat), but instead will
1330 % reference/describe all of them (a), (b), etc., within the main caption.
1331
1332
1333 % An example of a floating table. Note that, for IEEE style tables, the 
1334 % \caption command should come BEFORE the table. Table text will default to
1335 % \footnotesize as IEEE normally uses this smaller font for tables.
1336 % The \label must come after \caption as always.
1337 %
1338 %\begin{table}[!t]
1339 %% increase table row spacing, adjust to taste
1340 %\renewcommand{\arraystretch}{1.3}
1341 % if using array.sty, it might be a good idea to tweak the value of
1342 % \extrarowheight as needed to properly center the text within the cells
1343 %\caption{An Example of a Table}
1344 %\label{table_example}
1345 %\centering
1346 %% Some packages, such as MDW tools, offer better commands for making tables
1347 %% than the plain LaTeX2e tabular which is used here.
1348 %\begin{tabular}{|c||c|}
1349 %\hline
1350 %One & Two\\
1351 %\hline
1352 %Three & Four\\
1353 %\hline
1354 %\end{tabular}
1355 %\end{table}
1356
1357
1358 % Note that IEEE does not put floats in the very first column - or typically
1359 % anywhere on the first page for that matter. Also, in-text middle ("here")
1360 % positioning is not used. Most IEEE journals/conferences use top floats
1361 % exclusively. Note that, LaTeX2e, unlike IEEE journals/conferences, places
1362 % footnotes above bottom floats. This can be corrected via the \fnbelowfloat
1363 % command of the stfloats package.
1364
1365
1366
1367 \section{Conclusion}
1368 The conclusion goes here.
1369
1370
1371
1372
1373 % conference papers do not normally have an appendix
1374
1375
1376 % use section* for acknowledgement
1377 % \section*{Acknowledgment}
1378
1379 % The authors would like to thank...
1380
1381 % trigger a \newpage just before the given reference
1382 % number - used to balance the columns on the last page
1383 % adjust value as needed - may need to be readjusted if
1384 % the document is modified later
1385 %\IEEEtriggeratref{8}
1386 % The "triggered" command can be changed if desired:
1387 %\IEEEtriggercmd{\enlargethispage{-5in}}
1388
1389 % references section
1390
1391 % can use a bibliography generated by BibTeX as a .bbl file
1392 % BibTeX documentation can be easily obtained at:
1393 % http://www.ctan.org/tex-archive/biblio/bibtex/contrib/doc/
1394 % The IEEEtran BibTeX style support page is at:
1395 % http://www.michaelshell.org/tex/ieeetran/bibtex/
1396 \bibliographystyle{IEEEtran}
1397 % argument is your BibTeX string definitions and bibliography database(s)
1398 \bibliography{clash}
1399 %
1400 % <OR> manually copy in the resultant .bbl file
1401 % set second argument of \begin to the number of references
1402 % (used to reserve space for the reference number labels box)
1403 % \begin{thebibliography}{1}
1404
1405 % \bibitem{IEEEhowto:kopka}
1406 % H.~Kopka and P.~W. Daly, \emph{A Guide to \LaTeX}, 3rd~ed.\hskip 1em plus
1407 %   0.5em minus 0.4em\relax Harlow, England: Addison-Wesley, 1999.
1408
1409 % \end{thebibliography}
1410
1411
1412
1413
1414 % that's all folks
1415 \end{document}
1416
1417 % vim: set ai sw=2 sts=2 expandtab: