Use numbers in case-selectors to reflect changes to encoding of enum types
authorchristiaanb <christiaan.baaij@gmail.com>
Mon, 21 Jun 2010 12:53:59 +0000 (14:53 +0200)
committerchristiaanb <christiaan.baaij@gmail.com>
Mon, 21 Jun 2010 12:53:59 +0000 (14:53 +0200)
commit3e0c7dd54c6b987adbc95dad9a3d644898781108
treef7bc30d72b857885c32041d3637168ec99557fdf
parent07b99026644af5c6bbe4d9d664e0b6b0d914ed0a
Use numbers in case-selectors to reflect changes to encoding of enum types
clash/CLasH/VHDL/Generate.hs
clash/CLasH/VHDL/VHDLTools.hs