Use boolean as write enable signal
[matthijs/master-project/cλash.git] / cλash / CLasH / HardwareTypes.hs
index cff5c88568dd535d9b86b4059ed3166218c314b7..e6e84fd8a33a13aade58bbf5d4167552ba4afab0 100644 (file)
@@ -84,13 +84,14 @@ blockRAM ::
   a ->
   RangedWord s ->
   RangedWord s ->
-  Bit -> 
+  Bool -> 
   ((MemState s a), a )
 blockRAM (State mem) data_in rdaddr wraddr wrenable = 
   ((State mem'), data_out)
   where
     data_out  = mem!rdaddr
     -- Only write data_in to memory if write is enabled
-    mem' = case wrenable of
-      Low   ->  mem
-      High  ->  replace mem wraddr data_in
+    mem' =  if wrenable then
+              replace mem wraddr data_in
+            else
+              mem