Just name the testbench: testbench; don't add the unique
[matthijs/master-project/cλash.git] / cλash / CLasH / VHDL /
drwxr-xr-x   ..
-rw-r--r-- 6821 Constants.hs
-rw-r--r-- 77852 Generate.hs
-rw-r--r-- 7494 Testbench.hs
-rw-r--r-- 34532 VHDLTools.hs
-rw-r--r-- 1011 VHDLTypes.hs