Remove the globalNameTable from the VHDLState.
[matthijs/master-project/cλash.git] / VHDLTools.hs
2009-06-24 Matthijs KooijmanSwap the VHDLState and VHDLSession type names.
2009-06-24 Matthijs KooijmanClean up the code a bit more.
2009-06-23 Christiaan BaaijStarted cleanup of VHDL.hs and some builtin funcs now...