Always import IEEE.std_logic_1164 in the generated VHDL.
[matthijs/master-project/cλash.git] / Bits.hs
2009-01-19 Matthijs KooijmanIntial import of some haskell programs.