Mark port signals as such during flattening.
authorMatthijs Kooijman <m.kooijman@student.utwente.nl>
Mon, 16 Feb 2009 16:22:20 +0000 (17:22 +0100)
committerMatthijs Kooijman <m.kooijman@student.utwente.nl>
Mon, 16 Feb 2009 16:22:20 +0000 (17:22 +0100)

No differences found