X-Git-Url: https://git.stderr.nl/gitweb?a=blobdiff_plain;f=c%CE%BBash%2FCLasH%2FVHDL%2FConstants.hs;h=b0236f5364351ec63b333aabc1940c34193d1259;hb=d699d2f50e6d172308ef56adec01ace357ef0a0f;hp=f465d848642c6e8b47e92716ea652af784992f03;hpb=4c63601269c7097e2177c547dc36d4edecc1c648;p=matthijs%2Fmaster-project%2Fc%CE%BBash.git diff --git "a/c\316\273ash/CLasH/VHDL/Constants.hs" "b/c\316\273ash/CLasH/VHDL/Constants.hs" index f465d84..b0236f5 100644 --- "a/c\316\273ash/CLasH/VHDL/Constants.hs" +++ "b/c\316\273ash/CLasH/VHDL/Constants.hs" @@ -16,6 +16,8 @@ resetId, clockId :: AST.VHDLId resetId = AST.unsafeVHDLBasicId resetStr clockId = AST.unsafeVHDLBasicId clockStr +integerId :: AST.VHDLId +integerId = AST.unsafeVHDLBasicId "integer" -- | \"types\" identifier typesId :: AST.VHDLId @@ -123,6 +125,9 @@ initId = "init" tailId :: String tailId = "tail" +-- | minimum ftp function identifier +minimumId :: String +minimumId = "minimum" -- | take function identifier takeId :: String @@ -224,6 +229,10 @@ hwandId = "hwand" lengthTId :: String lengthTId = "lengthT" +-- Equality Operations +equalsId :: String +equalsId = "==" + -- Numeric Operations -- | plus operation identifier @@ -261,12 +270,21 @@ toUnsignedId = "to_unsigned" resizeId :: String resizeId = "resize" +smallIntegerId :: String +smallIntegerId = "smallInteger" + +sizedIntId :: String +sizedIntId = "SizedInt" + +tfvecId :: String +tfvecId = "TFVec" + -- | output file identifier (from std.textio) showIdString :: String showIdString = "show" showId :: AST.VHDLId -showId = AST.unsafeVHDLBasicId showIdString +showId = AST.unsafeVHDLExtId showIdString -- | write function identifier (from std.textio) writeId :: AST.VHDLId @@ -311,3 +329,11 @@ signedTM = AST.unsafeVHDLBasicId "signed" -- | unsigned TypeMark unsignedTM :: AST.TypeMark unsignedTM = AST.unsafeVHDLBasicId "unsigned" + +-- | string TypeMark +stringTM :: AST.TypeMark +stringTM = AST.unsafeVHDLBasicId "string" + +-- | tup VHDLName suffix +tupVHDLSuffix :: AST.VHDLId -> AST.Suffix +tupVHDLSuffix id = AST.SSimple id \ No newline at end of file