X-Git-Url: https://git.stderr.nl/gitweb?a=blobdiff_plain;f=Adders.hs;h=7243b504063c0871350745daf98036839078d83b;hb=0dd32af30fee665611e77cfb7bf8fc82f70c970b;hp=c49ba810ce1ae4768ac2bd7efd673d1c198a0a28;hpb=d49dfd213e2cd384bceb38dc70eb122711d4f996;p=matthijs%2Fmaster-project%2Fc%CE%BBash.git diff --git a/Adders.hs b/Adders.hs index c49ba81..7243b50 100644 --- a/Adders.hs +++ b/Adders.hs @@ -1,8 +1,14 @@ -module Adders (main, no_carry_adder) where +module Adders where import Bits +import qualified Sim import Language.Haskell.Syntax -main = do show_add exp_adder; show_add rec_adder; +mainIO f = Sim.simulateIO (Sim.stateless f) () + +-- This function is from Sim.hs, but we redefine it here so it can get inlined +-- by default. +stateless :: (i -> o) -> (i -> () -> ((), o)) +stateless f = \i s -> (s, f i) show_add f = do print ("Sum: " ++ (displaysigs s)); print ("Carry: " ++ (displaysig c)) where @@ -10,18 +16,63 @@ show_add f = do print ("Sum: " ++ (displaysigs s)); print ("Carry: " ++ (displ b = [Low, Low, Low, High] (s, c) = f (a, b) --- Combinatoric no-carry adder +mux2 :: Bit -> (Bit, Bit) -> Bit +mux2 Low (a, b) = a +mux2 High (a, b) = b + +-- Not really an adder, but this is nice minimal hardware description +wire :: Bit -> Bit +wire a = a + +-- Not really an adder either, but a slightly more complex example +inv :: Bit -> Bit +inv a = hwnot a + +-- Not really an adder either, but a slightly more complex example +invinv :: Bit -> Bit +invinv a = hwnot (hwnot a) + +-- Not really an adder either, but a slightly more complex example +dup :: Bit -> (Bit, Bit) +dup a = (a, a) + +-- Not really an adder either, but a simple stateful example (D-flipflop) +dff :: Bit -> Bit -> (Bit, Bit) +dff d s = (s', q) + where + q = s + s' = d + +type ShifterState = (Bit, Bit, Bit, Bit) +shifter :: Bit -> ShifterState -> (ShifterState, Bit) +shifter a s = + (s', o) + where + s' = (a, b, c, d) + (b, c, d, o) = s + +-- Combinatoric stateless no-carry adder -- A -> B -> S no_carry_adder :: (Bit, Bit) -> Bit no_carry_adder (a, b) = a `hwxor` b --- Combinatoric (one-bit) full adder +-- Combinatoric stateless half adder +-- A -> B -> (S, C) +half_adder :: (Bit, Bit) -> (Bit, Bit) +{-# NOINLINE half_adder #-} +half_adder (a, b) = + ( a `hwxor` b, a `hwand` b ) + +-- Combinatoric stateless full adder -- (A, B, C) -> (S, C) full_adder :: (Bit, Bit, Bit) -> (Bit, Bit) full_adder (a, b, cin) = (s, c) where - s = a `hwxor` b `hwxor` cin - c = a `hwand` b `hwor` (cin `hwand` (a `hwxor` b)) + (s1, c1) = half_adder(a, b) + (s, c2) = half_adder(s1, cin) + c = c1 `hwor` c2 + +sfull_adder = stateless full_adder -- Four bit adder -- Explicit version