Make the state monad calling code more pretty.
[matthijs/master-project/cλash.git] / Translator.hs
index 1fefc6e2fe553cdaa9c1219866dda8ffcbf27509..d76ff15dc12f132483ac8244857809d01482e645 100644 (file)
@@ -8,6 +8,7 @@ import qualified TyCon
 import qualified DataCon
 import qualified Maybe
 import qualified Module
+import qualified Control.Monad.State as State
 import Name
 import Data.Generics
 import NameEnv ( lookupNameEnv )
@@ -42,10 +43,19 @@ main =
                                        liftIO $ printBinds (cm_binds core)
                                        let bind = findBind "half_adder" (cm_binds core)
                                        let NonRec var expr = bind
+                                       -- Add the HWFunction from the bind to the session
+                                       let sess = State.execState (addF bind) (VHDLSession 0 builtin_funcs)
                                        liftIO $ putStr $ showSDoc $ ppr expr
                                        liftIO $ putStr "\n\n"
-                                       liftIO $ putStr $ render $ ForSyDe.Backend.Ppr.ppr $ getArchitecture bind
+                                       liftIO $ putStr $ render $ ForSyDe.Backend.Ppr.ppr $ getArchitecture sess bind
                                        return expr
+       where
+               -- Turns the given bind into VHDL
+               addF bind = do
+                       -- Get the function signature
+                       (name, f) <- mkHWFunction bind
+                       -- Add it to the session
+                       addFunc name f
 
 printTarget (Target (TargetFile file (Just x)) obj Nothing) =
        print $ show file
@@ -81,7 +91,7 @@ findBind lookfor =
 
 -- Accepts a port name and an argument to map to it.
 -- Returns the appropriate line for in the port map
-getPortMapEntry binds portname (Var id) = 
+getPortMapEntry binds (Port portname) (Var id) = 
        (Just (AST.unsafeVHDLBasicId portname)) AST.:=>: (AST.ADName (AST.NSimple (AST.unsafeVHDLBasicId signalname)))
        where
                Port signalname = Maybe.fromMaybe
@@ -91,25 +101,25 @@ getPortMapEntry binds portname (Var id) =
 getPortMapEntry binds _ a = error $ "Unsupported argument: " ++ (showSDoc $ ppr a)
 
 getInstantiations ::
-       PortNameMap                  -- The arguments that need to be applied to the
-                                                                                                                        -- expression. Should always be the Args
-                                                                                                                        -- constructor.
+       VHDLSession
+       -> [PortNameMap]             -- The arguments that need to be applied to the
+                                                                                                                        -- expression.
        -> PortNameMap               -- The output ports that the expression should generate.
        -> [(CoreBndr, PortNameMap)] -- A list of bindings in effect
        -> CoreSyn.CoreExpr          -- The expression to generate an architecture for
-       -> [AST.ConcSm]                  -- The resulting VHDL code
+       -> [AST.ConcSm]              -- The resulting VHDL code
 
 -- A lambda expression binds the first argument (a) to the binder b.
-getInstantiations (Args (a:as)) outs binds (Lam b expr) =
-       getInstantiations (Args as) outs ((b, a):binds) expr
+getInstantiations sess (a:as) outs binds (Lam b expr) =
+       getInstantiations sess as outs ((b, a):binds) expr
 
 -- A case expression that checks a single variable and has a single
 -- alternative, can be used to take tuples apart
-getInstantiations args outs binds (Case (Var v) b _ [res]) =
+getInstantiations sess args outs binds (Case (Var v) b _ [res]) =
        case altcon of
                DataAlt datacon ->
                        if (DataCon.isTupleCon datacon) then
-                               getInstantiations args outs binds' expr
+                               getInstantiations sess args outs binds' expr
                        else
                                error "Data constructors other than tuples not supported"
                otherwise ->
@@ -123,14 +133,14 @@ getInstantiations args outs binds (Case (Var v) b _ [res]) =
                        (lookup v binds)
 
 -- An application is an instantiation of a component
-getInstantiations args outs binds app@(App expr arg) =
+getInstantiations sess args outs binds app@(App expr arg) =
        if isTupleConstructor f then
                let
                        Tuple outports = outs
                        (tys, vals) = splitTupleConstructorArgs fargs
                in
                        concat $ zipWith 
-                               (\outs' expr' -> getInstantiations args outs' binds expr')
+                               (\outs' expr' -> getInstantiations sess args outs' binds expr')
                                outports vals
        else
                [AST.CSISm comp]
@@ -141,11 +151,15 @@ getInstantiations args outs binds app@(App expr arg) =
                        (AST.IUEntity (AST.NSimple (AST.unsafeVHDLBasicId compname)))
                        (AST.PMapAspect ports)
                compname = getOccString f
+               hwfunc = Maybe.fromMaybe
+                       (error $ "Function " ++ compname ++ "is unknown")
+                       (lookup compname (funcs sess))
+               HWFunction inports outport = hwfunc
                ports = 
-                       zipWith (getPortMapEntry binds) ["portin0", "portin1"] fargs
-                 ++ mapOutputPorts (Port "portout") outs
+                       zipWith (getPortMapEntry binds) inports fargs
+                 ++ mapOutputPorts outport outs
 
-getInstantiations args outs binds expr = 
+getInstantiations sess args outs binds expr = 
        error $ "Unsupported expression" ++ (showSDoc $ ppr $ expr)
 
 -- Is the given name a (binary) tuple constructor
@@ -185,34 +199,30 @@ mapOutputPorts (Tuple ports) (Tuple signals) =
        concat (zipWith mapOutputPorts ports signals)
 
 getArchitecture ::
-       CoreBind                  -- The binder to expand into an architecture
+       VHDLSession
+       -> CoreBind               -- The binder to expand into an architecture
        -> AST.ArchBody           -- The resulting architecture
         
-getArchitecture (Rec _) = error "Recursive binders not supported"
+getArchitecture sess (Rec _) = error "Recursive binders not supported"
 
-getArchitecture (NonRec var expr) =
+getArchitecture sess (NonRec var expr) =
        AST.ArchBody
                (AST.unsafeVHDLBasicId "structural")
                -- Use unsafe for now, to prevent pulling in ForSyDe error handling
                (AST.NSimple (AST.unsafeVHDLBasicId name))
                []
-               (getInstantiations (Args inportnames) outport [] expr)
+               (getInstantiations sess inports outport [] expr)
        where
                name = (getOccString var)
-               ty = CoreUtils.exprType expr
-               (fargs, res) = Type.splitFunTys ty
-               --state = if length fargs == 1 then () else (last fargs)
-               ports = if length fargs == 1 then fargs else (init fargs)
-               inportnames = case ports of
-                       [port] -> [getPortNameMapForTy "portin" port]
-                       ps     -> getPortNameMapForTys "portin" 0 ps
-               outport = getPortNameMapForTy "portout" res
+               hwfunc = Maybe.fromMaybe
+                       (error $ "Function " ++ name ++ "is unknown? This should not happen!")
+                       (lookup name (funcs sess))
+               HWFunction inports outport = hwfunc
 
 data PortNameMap =
-       Args [PortNameMap] -- Each of the submaps represent an argument to the
-                          -- function. Should only occur at top level.
-       | Tuple [PortNameMap]
+       Tuple [PortNameMap]
        | Port  String
+  deriving (Show)
 
 -- Generate a port name map (or multiple for tuple types) in the given direction for
 -- each type given.
@@ -231,3 +241,51 @@ getPortNameMapForTy name ty =
                Port name
        where
                (tycon, args) = Type.splitTyConApp ty 
+
+data HWFunction = HWFunction { -- A function that is available in hardware
+       inPorts   :: [PortNameMap],
+       outPort   :: PortNameMap
+       --entity    :: AST.EntityDec
+} deriving (Show)
+
+-- Turns a CoreExpr describing a function into a description of its input and
+-- output ports.
+mkHWFunction ::
+       CoreBind                                   -- The core binder to generate the interface for
+       -> VHDLState (String, HWFunction)          -- The name of the function and its interface
+
+mkHWFunction (NonRec var expr) =
+               return (name, HWFunction inports outport)
+       where
+               name = (getOccString var)
+               ty = CoreUtils.exprType expr
+               (fargs, res) = Type.splitFunTys ty
+               args = if length fargs == 1 then fargs else (init fargs)
+               --state = if length fargs == 1 then () else (last fargs)
+               inports = case args of
+                       -- Handle a single port specially, to prevent an extra 0 in the name
+                       [port] -> [getPortNameMapForTy "portin" port]
+                       ps     -> getPortNameMapForTys "portin" 0 ps
+               outport = getPortNameMapForTy "portout" res
+
+mkHWFunction (Rec _) =
+       error "Recursive binders not supported"
+
+data VHDLSession = VHDLSession {
+       nameCount :: Int,                      -- A counter that can be used to generate unique names
+       funcs     :: [(String, HWFunction)]    -- All functions available, indexed by name
+} deriving (Show)
+
+type VHDLState = State.State VHDLSession
+
+-- Add the function to the session
+addFunc :: String -> HWFunction -> VHDLState ()
+addFunc name f = do
+       fs <- State.gets funcs -- Get the funcs element form the session
+       State.modify (\x -> x {funcs = (name, f) : fs }) -- Prepend name and f
+
+builtin_funcs = 
+       [ 
+               ("hwxor", HWFunction [Port "a", Port "b"] (Port "o")),
+               ("hwand", HWFunction [Port "a", Port "b"] (Port "o"))
+       ]