Implement full_adder in terms of half_adder.
[matthijs/master-project/cλash.git] / Adders.hs
index ec486e60afdb2e1f276a87a4b2336cd326f4ad84..f35021c96fec00580168dc54c2ef4a2f7fe715a9 100644 (file)
--- a/Adders.hs
+++ b/Adders.hs
@@ -22,6 +22,10 @@ inv a = hwnot a
 invinv :: Bit -> Bit
 invinv a = hwnot (hwnot a)
 
+-- Not really an adder either, but a slightly more complex example
+dup :: Bit -> (Bit, Bit)
+dup a = (a, a)
+
 -- Combinatoric stateless no-carry adder
 -- A -> B -> S
 no_carry_adder :: (Bit, Bit) -> Bit
@@ -38,8 +42,9 @@ half_adder (a, b) =
 full_adder :: (Bit, Bit, Bit) -> (Bit, Bit)
 full_adder (a, b, cin) = (s, c)
   where
-    s = a `hwxor` b `hwxor` cin
-    c = a `hwand` b `hwor` (cin `hwand` (a `hwxor` b))
+    (s1, c1) = half_adder(a, b)
+    (s, c2)  = half_adder(s1, cin)
+    c        = c1 `hwor` c2
 
 -- Four bit adder
 -- Explicit version