Implement full_adder in terms of half_adder.
[matthijs/master-project/cλash.git] / Adders.hs
index c2810d5b397af025816fa3e0ed5a87e95163ab0d..f35021c96fec00580168dc54c2ef4a2f7fe715a9 100644 (file)
--- a/Adders.hs
+++ b/Adders.hs
@@ -18,6 +18,14 @@ wire a = a
 inv :: Bit -> Bit
 inv a = hwnot a
 
+-- Not really an adder either, but a slightly more complex example
+invinv :: Bit -> Bit
+invinv a = hwnot (hwnot a)
+
+-- Not really an adder either, but a slightly more complex example
+dup :: Bit -> (Bit, Bit)
+dup a = (a, a)
+
 -- Combinatoric stateless no-carry adder
 -- A -> B -> S
 no_carry_adder :: (Bit, Bit) -> Bit
@@ -34,8 +42,9 @@ half_adder (a, b) =
 full_adder :: (Bit, Bit, Bit) -> (Bit, Bit)
 full_adder (a, b, cin) = (s, c)
   where
-    s = a `hwxor` b `hwxor` cin
-    c = a `hwand` b `hwor` (cin `hwand` (a `hwxor` b))
+    (s1, c1) = half_adder(a, b)
+    (s, c2)  = half_adder(s1, cin)
+    c        = c1 `hwor` c2
 
 -- Four bit adder
 -- Explicit version