Let mkCompInsSm look up the actual VHDL entity id.
[matthijs/master-project/cλash.git] / .gitignore
1 *.hi
2 *.o