Always import IEEE.std_logic_1164 in the generated VHDL.
[matthijs/master-project/cλash.git] / .gitignore
1 *.hi
2 *.o